w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
nexys4lib.vhd
Go to the documentation of this file.
1-- $Id: nexys4lib.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2013-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: nexys4lib
7-- Description: Nexys 4 components
8--
9-- Dependencies: -
10-- Tool versions: ise 14.5-14.7; viv 2014.4; ghdl 0.29-0.31
11--
12-- Revision History:
13-- Date Rev Version Comment
14-- 2015-02-06 643 1.2 factor out memory, add nexys4_cram_aif
15-- 2015-02-01 641 1.1 drop nexys4_fusp_aif; separate I_BTNRST_N
16-- 2013-09-21 534 1.0 Initial version
17------------------------------------------------------------------------------
18
19library ieee;
20use ieee.std_logic_1164.all;
21
22use work.slvtypes.all;
23
24package nexys4lib is
25
26component nexys4_aif is -- NEXYS 4, abstract iface, base
27 port (
28 I_CLK100 : in slbit; -- 100 MHz clock
29 I_RXD : in slbit; -- receive data (board view)
30 O_TXD : out slbit; -- transmit data (board view)
31 O_RTS_N : out slbit; -- rx rts (board view; act.low)
32 I_CTS_N : in slbit; -- tx cts (board view; act.low)
33 I_SWI : in slv16; -- n4 switches
34 I_BTN : in slv5; -- n4 buttons
35 I_BTNRST_N : in slbit; -- n4 reset button
36 O_LED : out slv16; -- n4 leds
37 O_RGBLED0 : out slv3; -- n4 rgb-led 0
38 O_RGBLED1 : out slv3; -- n4 rgb-led 1
39 O_ANO_N : out slv8; -- 7 segment disp: anodes (act.low)
40 O_SEG_N : out slv8 -- 7 segment disp: segments (act.low)
41 );
42end component;
43
44component nexys4_cram_aif is -- NEXYS 4, abstract iface, base+cram
45 port (
46 I_CLK100 : in slbit; -- 100 MHz clock
47 I_RXD : in slbit; -- receive data (board view)
48 O_TXD : out slbit; -- transmit data (board view)
49 O_RTS_N : out slbit; -- rx rts (board view; act.low)
50 I_CTS_N : in slbit; -- tx cts (board view; act.low)
51 I_SWI : in slv16; -- n4 switches
52 I_BTN : in slv5; -- n4 buttons
53 I_BTNRST_N : in slbit; -- n4 reset button
54 O_LED : out slv16; -- n4 leds
55 O_RGBLED0 : out slv3; -- n4 rgb-led 0
56 O_RGBLED1 : out slv3; -- n4 rgb-led 1
57 O_ANO_N : out slv8; -- 7 segment disp: anodes (act.low)
58 O_SEG_N : out slv8; -- 7 segment disp: segments (act.low)
59 O_MEM_CE_N : out slbit; -- cram: chip enable (act.low)
60 O_MEM_BE_N : out slv2; -- cram: byte enables (act.low)
61 O_MEM_WE_N : out slbit; -- cram: write enable (act.low)
62 O_MEM_OE_N : out slbit; -- cram: output enable (act.low)
63 O_MEM_ADV_N : out slbit; -- cram: address valid (act.low)
64 O_MEM_CLK : out slbit; -- cram: clock
65 O_MEM_CRE : out slbit; -- cram: command register enable
66 I_MEM_WAIT : in slbit; -- cram: mem wait
67 O_MEM_ADDR : out slv23; -- cram: address lines
68 IO_MEM_DATA : inout slv16 -- cram: data lines
69 );
70end component;
71
72end package nexys4lib;