w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
nexys4dlib.vhd
Go to the documentation of this file.
1-- $Id: nexys4dlib.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2017- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: nexys4dlib
7-- Description: Nexys 4DDR components
8--
9-- Dependencies: -
10-- Tool versions: viv 2016.2-2017.2; ghdl 0.33-0.34
11--
12-- Revision History:
13-- Date Rev Version Comment
14-- 2018-12-30 1099 1.1 add nexys4d_dram_aif
15-- 2017-01-04 838 1.0 Initial version
16------------------------------------------------------------------------------
17
18library ieee;
19use ieee.std_logic_1164.all;
20
21use work.slvtypes.all;
22
23package nexys4dlib is
24
25component nexys4d_aif is -- NEXYS 4D, abstract iface, base
26 port (
27 I_CLK100 : in slbit; -- 100 MHz clock
28 I_RXD : in slbit; -- receive data (board view)
29 O_TXD : out slbit; -- transmit data (board view)
30 O_RTS_N : out slbit; -- rx rts (board view; act.low)
31 I_CTS_N : in slbit; -- tx cts (board view; act.low)
32 I_SWI : in slv16; -- n4 switches
33 I_BTN : in slv5; -- n4 buttons
34 I_BTNRST_N : in slbit; -- n4 reset button
35 O_LED : out slv16; -- n4 leds
36 O_RGBLED0 : out slv3; -- n4 rgb-led 0
37 O_RGBLED1 : out slv3; -- n4 rgb-led 1
38 O_ANO_N : out slv8; -- 7 segment disp: anodes (act.low)
39 O_SEG_N : out slv8 -- 7 segment disp: segments (act.low)
40 );
41end component;
42
43component nexys4d_dram_aif is -- NEXYS 4D, abstract iface, base+dram
44 port (
45 I_CLK100 : in slbit; -- 100 MHz clock
46 I_RXD : in slbit; -- receive data (board view)
47 O_TXD : out slbit; -- transmit data (board view)
48 O_RTS_N : out slbit; -- rx rts (board view; act.low)
49 I_CTS_N : in slbit; -- tx cts (board view; act.low)
50 I_SWI : in slv16; -- n4 switches
51 I_BTN : in slv5; -- n4 buttons
52 I_BTNRST_N : in slbit; -- n4 reset button
53 O_LED : out slv16; -- n4 leds
54 O_RGBLED0 : out slv3; -- n4 rgb-led 0
55 O_RGBLED1 : out slv3; -- n4 rgb-led 1
56 O_ANO_N : out slv8; -- 7 segment disp: anodes (act.low)
57 O_SEG_N : out slv8; -- 7 segment disp: segments (act.low)
58 DDR2_DQ : inout slv16; -- dram: data in/out
59 DDR2_DQS_P : inout slv2; -- dram: data strobe (diff-p)
60 DDR2_DQS_N : inout slv2; -- dram: data strobe (diff-n)
61 DDR2_ADDR : out slv13; -- dram: address
62 DDR2_BA : out slv3; -- dram: bank address
63 DDR2_RAS_N : out slbit; -- dram: row addr strobe (act.low)
64 DDR2_CAS_N : out slbit; -- dram: column addr strobe (act.low)
65 DDR2_WE_N : out slbit; -- dram: write enable (act.low)
66 DDR2_CK_P : out slv1; -- dram: clock (diff-p)
67 DDR2_CK_N : out slv1; -- dram: clock (diff-n)
68 DDR2_CKE : out slv1; -- dram: clock enable
69 DDR2_CS_N : out slv1; -- dram: chip select (act.low)
70 DDR2_DM : out slv2; -- dram: data input mask
71 DDR2_ODT : out slv1 -- dram: on-die termination
72
73 );
74end component;
75
76end package nexys4dlib;