w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
nexys4d_dummy.vhd
Go to the documentation of this file.
1-- $Id: nexys4d_dummy.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2017- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: nexys4d_dummy - syn
7-- Description: nexys4d minimal target (base; serport loopback)
8--
9-- Dependencies: -
10-- To test: tb_nexys4d
11-- Target Devices: generic
12-- Tool versions: viv 2016.4; ghdl 0.33
13--
14-- Revision History:
15-- Date Rev Version Comment
16-- 2017-01-04 838 1.0 Initial version (derived from nexys4_dummy)
17------------------------------------------------------------------------------
18
19library ieee;
20use ieee.std_logic_1164.all;
21
22use work.slvtypes.all;
23
24entity nexys4d_dummy is -- NEXYS 4DDR dummy (base; loopback)
25 -- implements nexys4d_aif
26 port (
27 I_CLK100 : in slbit; -- 100 MHz board clock
28 I_RXD : in slbit; -- receive data (board view)
29 O_TXD : out slbit; -- transmit data (board view)
30 O_RTS_N : out slbit; -- rx rts (board view; act.low)
31 I_CTS_N : in slbit; -- tx cts (board view; act.low)
32 I_SWI : in slv16; -- n4d switches
33 I_BTN : in slv5; -- n4d buttons
34 I_BTNRST_N : in slbit; -- n4d reset button
35 O_LED : out slv16; -- n4d leds
36 O_RGBLED0 : out slv3; -- n4d rgb-led 0
37 O_RGBLED1 : out slv3; -- n4d rgb-led 1
38 O_ANO_N : out slv8; -- 7 segment disp: anodes (act.low)
39 O_SEG_N : out slv8 -- 7 segment disp: segments (act.low)
40 );
42
43architecture syn of nexys4d_dummy is
44
45begin
46
47 O_TXD <= I_RXD; -- loop back serport
49
50 O_LED <= I_SWI; -- mirror SWI on LED
51
52 O_RGBLED0 <= I_BTN(2 downto 0); -- mirror BTN on RGBLED
53 O_RGBLED1 <= not I_BTNRST_N & I_BTN(4) & I_BTN(3);
54
55 O_ANO_N <= (others=>'1');
56 O_SEG_N <= (others=>'1');
57
58end syn;
out O_TXD slbit
in I_RXD slbit
out O_LED slv16
in I_SWI slv16
in I_BTNRST_N slbit
in I_BTN slv5
out O_SEG_N slv8
out O_ANO_N slv8
in I_CTS_N slbit
out O_RGBLED0 slv3
in I_CLK100 slbit
out O_RTS_N slbit
out O_RGBLED1 slv3
std_logic_vector( 4 downto 0) slv5
Definition: slvtypes.vhd:37
std_logic_vector( 2 downto 0) slv3
Definition: slvtypes.vhd:35
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40