w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
migui_artys7_gsim.vhd
Go to the documentation of this file.
1-- $Id: migui_artys7_gsim.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2019- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: migui_artys7 - sim
7-- Description: MIG generated for artys7 - simple simulator
8--
9-- Dependencies: bplib/mig/migui_core_gsim
10-- Test bench: tb_tst_sram_artys7
11-- Target Devices: artys7 board
12-- Tool versions: viv 2018.3; ghdl 0.35
13--
14-- Revision History:
15-- Date Rev Version Comment
16-- 2019-01-12 1105 1.0 Initial version (cloned from migui_arty_gsim)
17--
18------------------------------------------------------------------------------
19
20library ieee;
21use ieee.std_logic_1164.all;
22use ieee.numeric_std.all;
23
24use work.slvtypes.all;
25use work.miglib.all;
26use work.miglib_artys7.all;
27
28entity migui_artys7 is -- MIG generated for artys7
29 port (
30 DDR3_DQ : inout slv16; -- dram: data in/out
31 DDR3_DQS_P : inout slv2; -- dram: data strobe (diff-p)
32 DDR3_DQS_N : inout slv2; -- dram: data strobe (diff-n)
33 DDR3_ADDR : out slv14; -- dram: address
34 DDR3_BA : out slv3; -- dram: bank address
35 DDR3_RAS_N : out slbit; -- dram: row addr strobe (act.low)
36 DDR3_CAS_N : out slbit; -- dram: column addr strobe (act.low)
37 DDR3_WE_N : out slbit; -- dram: write enable (act.low)
38 DDR3_RESET_N : out slbit; -- dram: reset (act.low)
39 DDR3_CK_P : out slv1; -- dram: clock (diff-p)
40 DDR3_CK_N : out slv1; -- dram: clock (diff-n)
41 DDR3_CKE : out slv1; -- dram: clock enable
42 DDR3_CS_N : out slv1; -- dram: chip select (act.low)
43 DDR3_DM : out slv2; -- dram: data input mask
44 DDR3_ODT : out slv1; -- dram: on-die termination
45 APP_ADDR : in slv(mig_mawidth-1 downto 0); -- MIGUI address
46 APP_CMD : in slv3; -- MIGUI command
47 APP_EN : in slbit; -- MIGUI command enable
48 APP_WDF_DATA : in slv(mig_dwidth-1 downto 0);-- MIGUI write data
49 APP_WDF_END : in slbit; -- MIGUI write end
50 APP_WDF_MASK : in slv(mig_mwidth-1 downto 0); -- MIGUI write mask
51 APP_WDF_WREN : in slbit; -- MIGUI data write enable
52 APP_RD_DATA : out slv(mig_dwidth-1 downto 0); -- MIGUI read data
53 APP_RD_DATA_END : out slbit; -- MIGUI read end
54 APP_RD_DATA_VALID : out slbit; -- MIGUI read valid
55 APP_RDY : out slbit; -- MIGUI ready for cmd
56 APP_WDF_RDY : out slbit; -- MIGUI ready for data write
57 APP_SR_REQ : in slbit; -- MIGUI reserved (tie to 0)
58 APP_REF_REQ : in slbit; -- MIGUI refresh request
59 APP_ZQ_REQ : in slbit; -- MIGUI ZQ calibrate request
60 APP_SR_ACTIVE : out slbit; -- MIGUI reserved (ignore)
61 APP_REF_ACK : out slbit; -- MIGUI refresh acknowledge
62 APP_ZQ_ACK : out slbit; -- MIGUI ZQ calibrate acknowledge
63 UI_CLK : out slbit; -- MIGUI clock
64 UI_CLK_SYNC_RST : out slbit; -- MIGUI reset
65 INIT_CALIB_COMPLETE : out slbit; -- MIGUI inital calibration complete
66 SYS_CLK_I : in slbit; -- MIGUI system clock
67 CLK_REF_I : in slbit; -- MIGUI reference clock
68 DEVICE_TEMP_I : in slv12; -- MIGUI xadc temperature
69 SYS_RST : in slbit -- MIGUI system reset
70 );
71end migui_artys7;
72
73
74architecture sim of migui_artys7 is
75
76begin
77
78 MIG_SIM : migui_core_gsim
79 generic map (
80 BAWIDTH => mig_bawidth,
81 MAWIDTH => mig_mawidth,
82 SAWIDTH => 24,
83 CLKMUI_MUL => 7,
84 CLKMUI_DIV => 14)
85 port map (
88 UI_CLK => UI_CLK,
92 APP_EN => APP_EN,
107 );
108
109 DDR3_DQ <= (others=>'Z');
110 DDR3_DQS_P <= (others=>'Z');
111 DDR3_DQS_N <= (others=>'Z');
112 DDR3_ADDR <= (others=>'0');
113 DDR3_BA <= (others=>'0');
114 DDR3_RAS_N <= '1';
115 DDR3_CAS_N <= '1';
116 DDR3_WE_N <= '1';
117 DDR3_RESET_N <= '1';
118 DDR3_CK_P <= (others=>'0');
119 DDR3_CK_N <= (others=>'1');
120 DDR3_CKE <= (others=>'0');
121 DDR3_CS_N <= (others=>'1');
122 DDR3_DM <= (others=>'0');
123 DDR3_ODT <= (others=>'0');
124
125 APP_SR_ACTIVE <= '0';
126
127end sim;
out UI_CLK slbit
out DDR3_CK_P slv1
out APP_RD_DATA slv( mig_dwidth- 1 downto 0)
out APP_RDY slbit
out APP_RD_DATA_VALID slbit
out APP_REF_ACK slbit
out APP_RD_DATA_END slbit
out DDR3_RESET_N slbit
inout DDR3_DQ slv16
in APP_WDF_MASK slv( mig_mwidth- 1 downto 0)
in APP_WDF_END slbit
in DEVICE_TEMP_I slv12
out INIT_CALIB_COMPLETE slbit
in APP_SR_REQ slbit
out DDR3_WE_N slbit
out DDR3_CKE slv1
out DDR3_ADDR slv14
out DDR3_ODT slv1
out DDR3_CAS_N slbit
out DDR3_CK_N slv1
inout DDR3_DQS_P slv2
in APP_REF_REQ slbit
out APP_ZQ_ACK slbit
out APP_WDF_RDY slbit
inout DDR3_DQS_N slv2
in APP_ZQ_REQ slbit
in CLK_REF_I slbit
in APP_WDF_WREN slbit
out APP_SR_ACTIVE slbit
in APP_ADDR slv( mig_mawidth- 1 downto 0)
in SYS_CLK_I slbit
out DDR3_RAS_N slbit
out DDR3_CS_N slv1
in APP_WDF_DATA slv( mig_dwidth- 1 downto 0)
out UI_CLK_SYNC_RST slbit
MAWIDTH positive := 28
out APP_RDY slbit
out APP_RD_DATA_VALID slbit
out APP_REF_ACK slbit
out APP_RD_DATA_END slbit
in APP_WDF_MASK slv(( 2** BAWIDTH)- 1 downto 0)
CLKMUI_MUL positive := 6
SAWIDTH positive := 24
in APP_WDF_DATA slv( 8*( 2** BAWIDTH)- 1 downto 0)
in APP_WDF_END slbit
out INIT_CALIB_COMPLETE slbit
out APP_RD_DATA slv( 8*( 2** BAWIDTH)- 1 downto 0)
in APP_REF_REQ slbit
out APP_ZQ_ACK slbit
out APP_WDF_RDY slbit
in APP_ZQ_REQ slbit
CLKMUI_DIV positive := 12
in APP_WDF_WREN slbit
in APP_ADDR slv( MAWIDTH- 1 downto 0)
BAWIDTH positive := 4
out UI_CLK_SYNC_RST slbit
std_logic_vector( 13 downto 0) slv14
Definition: slvtypes.vhd:46
std_logic_vector( 11 downto 0) slv12
Definition: slvtypes.vhd:44
std_logic_vector( 2 downto 0) slv3
Definition: slvtypes.vhd:35
std_logic_vector( 0 downto 0) slv1
Definition: slvtypes.vhd:33
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 1 downto 0) slv2
Definition: slvtypes.vhd:34
std_logic_vector slv
Definition: slvtypes.vhd:31