w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
ib_sres_or_2.vhd
Go to the documentation of this file.
1-- $Id: ib_sres_or_2.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: ib_sres_or_2 - syn
7-- Description: ibus: result or, 2 input
8--
9-- Dependencies: -
10-- Test bench: tb/tb_pdp11_core (implicit)
11-- Target Devices: generic
12-- Tool versions: ise 8.1-14.7; viv 2014.4; ghdl 0.18-0.31
13--
14-- Revision History:
15-- Date Rev Version Comment
16-- 2010-10-23 335 1.1 add ib_sres_or_mon
17-- 2008-08-22 161 1.0.2 renamed pdp11_ibres_ -> ib_sres_; use iblib
18-- 2008-01-05 110 1.0.1 rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy)
19-- 2007-12-29 107 1.0 Initial version
20------------------------------------------------------------------------------
21
22library ieee;
23use ieee.std_logic_1164.all;
24
25use work.slvtypes.all;
26use work.iblib.all;
27
28-- ----------------------------------------------------------------------------
29
30entity ib_sres_or_2 is -- ibus result or, 2 input
31 port (
32 IB_SRES_1 : in ib_sres_type; -- ib_sres input 1
33 IB_SRES_2 : in ib_sres_type := ib_sres_init; -- ib_sres input 2
34 IB_SRES_OR : out ib_sres_type -- ib_sres or'ed output
35 );
36end ib_sres_or_2;
37
38architecture syn of ib_sres_or_2 is
39
40begin
41
42 proc_comb : process (IB_SRES_1, IB_SRES_2)
43 begin
44
45 IB_SRES_OR.ack <= IB_SRES_1.ack or
46 IB_SRES_2.ack;
47 IB_SRES_OR.busy <= IB_SRES_1.busy or
48 IB_SRES_2.busy;
49 IB_SRES_OR.dout <= IB_SRES_1.dout or
50 IB_SRES_2.dout;
51
52 end process proc_comb;
53
54-- synthesis translate_off
55 ORMON : ib_sres_or_mon
56 port map (
59 IB_SRES_3 => ib_sres_init,
60 IB_SRES_4 => ib_sres_init
61 );
62-- synthesis translate_on
63
64end syn;
in IB_SRES_2 ib_sres_type := ib_sres_init
out IB_SRES_OR ib_sres_type
in IB_SRES_1 ib_sres_type
in IB_SRES_2 ib_sres_type
in IB_SRES_3 ib_sres_type := ib_sres_init
in IB_SRES_4 ib_sres_type := ib_sres_init
in IB_SRES_1 ib_sres_type
Definition: iblib.vhd:33