w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
rgbdrv_3x4mux Entity Reference
Inheritance diagram for rgbdrv_3x4mux:
[legend]
Collaboration diagram for rgbdrv_3x4mux:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
xlib  Package <xlib>

Ports

CLK   in   slbit
RESET   in   slbit := ' 0 '
CE_USEC   in   slbit
DATR   in   slv4
DATG   in   slv4
DATB   in   slv4
O_RGBLED0   out   slv3
O_RGBLED1   out   slv3
O_RGBLED2   out   slv3
O_RGBLED3   out   slv3

Detailed Description

Definition at line 27 of file rgbdrv_3x4mux.vhd.

Member Data Documentation

◆ CLK

CLK in slbit
Port

Definition at line 29 of file rgbdrv_3x4mux.vhd.

◆ RESET

RESET in slbit := ' 0 '
Port

Definition at line 30 of file rgbdrv_3x4mux.vhd.

◆ CE_USEC

CE_USEC in slbit
Port

Definition at line 31 of file rgbdrv_3x4mux.vhd.

◆ DATR

DATR in slv4
Port

Definition at line 32 of file rgbdrv_3x4mux.vhd.

◆ DATG

DATG in slv4
Port

Definition at line 33 of file rgbdrv_3x4mux.vhd.

◆ DATB

DATB in slv4
Port

Definition at line 34 of file rgbdrv_3x4mux.vhd.

◆ O_RGBLED0

O_RGBLED0 out slv3
Port

Definition at line 35 of file rgbdrv_3x4mux.vhd.

◆ O_RGBLED1

O_RGBLED1 out slv3
Port

Definition at line 36 of file rgbdrv_3x4mux.vhd.

◆ O_RGBLED2

O_RGBLED2 out slv3
Port

Definition at line 37 of file rgbdrv_3x4mux.vhd.

◆ O_RGBLED3

O_RGBLED3 out slv3
Port

Definition at line 39 of file rgbdrv_3x4mux.vhd.

◆ ieee

ieee
Library

Definition at line 20 of file rgbdrv_3x4mux.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 21 of file rgbdrv_3x4mux.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 22 of file rgbdrv_3x4mux.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 24 of file rgbdrv_3x4mux.vhd.

◆ xlib

xlib
use clause

Definition at line 25 of file rgbdrv_3x4mux.vhd.


The documentation for this design unit was generated from the following file: