w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
syn Architecture Reference
Architecture >> syn

Processes

proc_next  ( R_SEL , RB_MREQ , DATA )

Signals

R_SEL  slbit := ' 0 '
DATA  slv32 := ( others = > ' 0 ' )

Instantiations

rbsel  rb_sel <Entity rb_sel>
ua  usr_access_unisim <Entity usr_access_unisim>

Detailed Description

Definition at line 47 of file rbd_usracc.vhd.

Member Function/Procedure/Process Documentation

◆ proc_next()

proc_next (   R_SEL,
  RB_MREQ,
  DATA 
)

Definition at line 67 of file rbd_usracc.vhd.

Member Data Documentation

◆ R_SEL

R_SEL slbit := ' 0 '
Signal

Definition at line 49 of file rbd_usracc.vhd.

◆ DATA

DATA slv32 := ( others = > ' 0 ' )
Signal

Definition at line 50 of file rbd_usracc.vhd.

◆ rbsel

rbsel rb_sel
Instantiation

Definition at line 62 of file rbd_usracc.vhd.

◆ ua

ua usr_access_unisim
Instantiation

Definition at line 65 of file rbd_usracc.vhd.


The documentation for this design unit was generated from the following file: