w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
rbd_rbmon Entity Reference
Inheritance diagram for rbd_rbmon:
[legend]
Collaboration diagram for rbd_rbmon:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
memlib  Package <memlib>
rblib  Package <rblib>
rbdlib  Package <rbdlib>

Generics

RB_ADDR  slv16 := rbaddr_rbmon
AWIDTH  natural := 9

Ports

CLK   in   slbit
RESET   in   slbit
RB_MREQ   in   rb_mreq_type
RB_SRES   out   rb_sres_type
RB_SRES_SUM   in   rb_sres_type

Detailed Description

Definition at line 92 of file rbd_rbmon.vhd.

Member Data Documentation

◆ RB_ADDR

RB_ADDR slv16 := rbaddr_rbmon
Generic

Definition at line 94 of file rbd_rbmon.vhd.

◆ AWIDTH

AWIDTH natural := 9
Generic

Definition at line 95 of file rbd_rbmon.vhd.

◆ CLK

CLK in slbit
Port

Definition at line 97 of file rbd_rbmon.vhd.

◆ RESET

RESET in slbit
Port

Definition at line 98 of file rbd_rbmon.vhd.

◆ RB_MREQ

RB_MREQ in rb_mreq_type
Port

Definition at line 99 of file rbd_rbmon.vhd.

◆ RB_SRES

RB_SRES out rb_sres_type
Port

Definition at line 100 of file rbd_rbmon.vhd.

◆ RB_SRES_SUM

RB_SRES_SUM in rb_sres_type
Port

Definition at line 102 of file rbd_rbmon.vhd.

◆ ieee

ieee
Library

Definition at line 79 of file rbd_rbmon.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 80 of file rbd_rbmon.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 81 of file rbd_rbmon.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 83 of file rbd_rbmon.vhd.

◆ memlib

memlib
use clause

Definition at line 84 of file rbd_rbmon.vhd.

◆ rblib

rblib
use clause

Definition at line 85 of file rbd_rbmon.vhd.

◆ rbdlib

rbdlib
use clause

Definition at line 86 of file rbd_rbmon.vhd.


The documentation for this design unit was generated from the following file: