w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
pdp11_dmpcnt Entity Reference
Inheritance diagram for pdp11_dmpcnt:
[legend]
Collaboration diagram for pdp11_dmpcnt:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
memlib  Package <memlib>
rblib  Package <rblib>
pdp11  Package <pdp11>

Generics

RB_ADDR  slv16 := rbaddr_dmpcnt_off
VERS  slv8 := slv ( to_unsigned ( 1 , 8 ) )
CENA  slv32 := ( others = > ' 1 ' )

Ports

CLK   in   slbit
RESET   in   slbit
RB_MREQ   in   rb_mreq_type
RB_SRES   out   rb_sres_type
PERFSIG   in   slv32

Detailed Description

Definition at line 99 of file pdp11_dmpcnt.vhd.

Member Data Documentation

◆ RB_ADDR

RB_ADDR slv16 := rbaddr_dmpcnt_off
Generic

Definition at line 101 of file pdp11_dmpcnt.vhd.

◆ VERS

VERS slv8 := slv ( to_unsigned ( 1 , 8 ) )
Generic

Definition at line 102 of file pdp11_dmpcnt.vhd.

◆ CENA

CENA slv32 := ( others = > ' 1 ' )
Generic

Definition at line 103 of file pdp11_dmpcnt.vhd.

◆ CLK

CLK in slbit
Port

Definition at line 105 of file pdp11_dmpcnt.vhd.

◆ RESET

RESET in slbit
Port

Definition at line 106 of file pdp11_dmpcnt.vhd.

◆ RB_MREQ

RB_MREQ in rb_mreq_type
Port

Definition at line 107 of file pdp11_dmpcnt.vhd.

◆ RB_SRES

RB_SRES out rb_sres_type
Port

Definition at line 108 of file pdp11_dmpcnt.vhd.

◆ PERFSIG

PERFSIG in slv32
Port

Definition at line 110 of file pdp11_dmpcnt.vhd.

◆ ieee

ieee
Library

Definition at line 90 of file pdp11_dmpcnt.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 91 of file pdp11_dmpcnt.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 92 of file pdp11_dmpcnt.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 94 of file pdp11_dmpcnt.vhd.

◆ memlib

memlib
use clause

Definition at line 95 of file pdp11_dmpcnt.vhd.

◆ rblib

rblib
use clause

Definition at line 96 of file pdp11_dmpcnt.vhd.

◆ pdp11

pdp11
use clause

Definition at line 97 of file pdp11_dmpcnt.vhd.


The documentation for this design unit was generated from the following file: