w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sim Architecture Reference
Architecture >> sim

Processes

proc_keep  ( PAD )

Signals

R_KEEP  slv ( DWIDTH - 1 downto 0 ) := ( others = > ' W ' )

Detailed Description

Definition at line 36 of file iob_keeper_gen.vhd.

Member Function/Procedure/Process Documentation

◆ proc_keep()

proc_keep (   PAD  
)
Process

Definition at line 40 of file iob_keeper_gen.vhd.

Member Data Documentation

◆ R_KEEP

R_KEEP slv ( DWIDTH - 1 downto 0 ) := ( others = > ' W ' )
Signal

Definition at line 37 of file iob_keeper_gen.vhd.


The documentation for this design unit was generated from the following file: