w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
iob_keeper_gen Entity Reference
Inheritance diagram for iob_keeper_gen:
[legend]

Entities

sim  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>
xlib  Package <xlib>

Generics

DWIDTH  positive := 16

Ports

PAD   inout   slv ( DWIDTH - 1 downto 0 )

Detailed Description

Definition at line 25 of file iob_keeper_gen.vhd.

Member Data Documentation

◆ DWIDTH

DWIDTH positive := 16
Generic

Definition at line 27 of file iob_keeper_gen.vhd.

◆ PAD

PAD inout slv ( DWIDTH - 1 downto 0 )
Port

Definition at line 30 of file iob_keeper_gen.vhd.

◆ ieee

ieee
Library

Definition at line 19 of file iob_keeper_gen.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 20 of file iob_keeper_gen.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 22 of file iob_keeper_gen.vhd.

◆ xlib

xlib
use clause

Definition at line 23 of file iob_keeper_gen.vhd.


The documentation for this design unit was generated from the following file: