w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
basys3_dummy.vhd
Go to the documentation of this file.
1-- $Id: basys3_dummy.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2015-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: basys3_dummy - syn
7-- Description: basys3 minimal target (base; serport loopback)
8--
9-- Dependencies: -
10-- To test: tb_basys3
11-- Target Devices: generic
12-- Tool versions: xst 14.7; viv 2014.4; ghdl 0.31
13--
14-- Revision History:
15-- Date Rev Version Comment
16-- 2016-01-31 726 1.0.1 fix typos
17-- 2015-01-15 634 1.0 Initial version (derived from nexys4_dummy)
18------------------------------------------------------------------------------
19
20library ieee;
21use ieee.std_logic_1164.all;
22
23use work.slvtypes.all;
24
25entity basys3_dummy is -- BASYS 3 dummy (base; loopback)
26 -- implements basys3_aif
27 port (
28 I_CLK100 : in slbit; -- 100 MHz board clock
29 I_RXD : in slbit; -- receive data (board view)
30 O_TXD : out slbit; -- transmit data (board view)
31 I_SWI : in slv16; -- b3 switches
32 I_BTN : in slv5; -- b3 buttons
33 O_LED : out slv16; -- b3 leds
34 O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low)
35 O_SEG_N : out slv8 -- 7 segment disp: segments (act.low)
36 );
37end basys3_dummy;
38
39architecture syn of basys3_dummy is
40
41begin
42
43 O_TXD <= I_RXD; -- loop back serport
44
45 O_LED <= I_SWI; -- mirror SWI on LED
46
47 O_ANO_N <= (others=>'1');
48 O_SEG_N <= (others=>'1');
49
50end syn;
out O_TXD slbit
in I_RXD slbit
out O_LED slv16
in I_SWI slv16
in I_BTN slv5
out O_SEG_N slv8
in I_CLK100 slbit
out O_ANO_N slv4
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 4 downto 0) slv5
Definition: slvtypes.vhd:37
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40