w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
artys7_dummy.vhd
Go to the documentation of this file.
1-- $Id: artys7_dummy.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2018- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: artys7_dummy - syn
7-- Description: artys7 minimal target (base; serport loopback)
8--
9-- Dependencies: -
10-- To test: tb_artys7
11-- Target Devices: generic
12-- Tool versions: viv 2017.2-2018.2; ghdl 0.34
13--
14-- Revision History:
15-- Date Rev Version Comment
16-- 2018-08-05 1038 1.0 Initial version (cloned from artya7)
17------------------------------------------------------------------------------
18
19library ieee;
20use ieee.std_logic_1164.all;
21
22use work.slvtypes.all;
23
24entity artys7_dummy is -- ARTY S7 dummy (base; loopback)
25 -- implements artys7_aif
26 port (
27 I_CLK100 : in slbit; -- 100 MHz board clock
28 I_RXD : in slbit; -- receive data (board view)
29 O_TXD : out slbit; -- transmit data (board view)
30 I_SWI : in slv4; -- artys7 switches
31 I_BTN : in slv4; -- artys7 buttons
32 O_LED : out slv4; -- artys7 leds
33 O_RGBLED0 : out slv3; -- artys7 rgb-led 0
34 O_RGBLED1 : out slv3 -- artys7 rgb-led 1
35 );
36end artys7_dummy;
37
38architecture syn of artys7_dummy is
39
40begin
41
42 O_TXD <= I_RXD; -- loop back serport
43
44 O_LED <= I_SWI; -- mirror SWI on LED
45
46 O_RGBLED0 <= I_BTN(2 downto 0); -- mirror BTN on RGBLED0
47 O_RGBLED1 <= (others=>'0');
48
49end syn;
in I_SWI slv4
out O_TXD slbit
in I_RXD slbit
in I_BTN slv4
out O_RGBLED0 slv3
in I_CLK100 slbit
out O_RGBLED1 slv3
out O_LED slv4
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 2 downto 0) slv3
Definition: slvtypes.vhd:35
std_logic slbit
Definition: slvtypes.vhd:30