w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
artys7_dram_dummy.vhd
Go to the documentation of this file.
1-- $Id: artys7_dram_dummy.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2019- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: artys7_dram_dummy - syn
7-- Description: artys7target (base; serport loopback, dram project)
8--
9-- Dependencies: -
10-- To test: tb_artys7_dram
11-- Target Devices: generic
12-- Tool versions: viv 2017.2; ghdl 0.35
13--
14-- Revision History:
15-- Date Rev Version Comment
16-- 2019-01-12 1105 1.0 Initial version (cloned from artys7)
17------------------------------------------------------------------------------
18
19library ieee;
20use ieee.std_logic_1164.all;
21
22use work.slvtypes.all;
23
24entity artys7_dram_dummy is -- ARTY S7 dummy (base+dram)
25 -- implements artys7_dram_aif
26 port (
27 I_CLK100 : in slbit; -- 100 MHz board clock
28 I_RXD : in slbit; -- receive data (board view)
29 O_TXD : out slbit; -- transmit data (board view)
30 I_SWI : in slv4; -- artys7 switches
31 I_BTN : in slv4; -- artys7 buttons
32 O_LED : out slv4; -- artys7 leds
33 O_RGBLED0 : out slv3; -- artys7 rgb-led 0
34 O_RGBLED1 : out slv3; -- artys7 rgb-led 1
35 DDR3_DQ : inout slv16; -- dram: data in/out
36 DDR3_DQS_P : inout slv2; -- dram: data strobe (diff-p)
37 DDR3_DQS_N : inout slv2; -- dram: data strobe (diff-n)
38 DDR3_ADDR : out slv14; -- dram: address
39 DDR3_BA : out slv3; -- dram: bank address
40 DDR3_RAS_N : out slbit; -- dram: row addr strobe (act.low)
41 DDR3_CAS_N : out slbit; -- dram: column addr strobe (act.low)
42 DDR3_WE_N : out slbit; -- dram: write enable (act.low)
43 DDR3_RESET_N : out slbit; -- dram: reset (act.low)
44 DDR3_CK_P : out slv1; -- dram: clock (diff-p)
45 DDR3_CK_N : out slv1; -- dram: clock (diff-n)
46 DDR3_CKE : out slv1; -- dram: clock enable
47 DDR3_CS_N : out slv1; -- dram: chip select (act.low)
48 DDR3_DM : out slv2; -- dram: data input mask
49 DDR3_ODT : out slv1 -- dram: on-die termination
50 );
52
53architecture syn of artys7_dram_dummy is
54
55begin
56
57 O_TXD <= I_RXD; -- loop back serport
58
59 O_LED <= I_SWI; -- mirror SWI on LED
60
61 O_RGBLED0 <= I_BTN(2 downto 0); -- mirror BTN on RGBLED0
62 O_RGBLED1 <= (others=>'0');
63
64 DDR3_DQ <= (others=>'Z');
65 DDR3_DQS_P <= (others=>'Z');
66 DDR3_DQS_N <= (others=>'Z');
67 DDR3_ADDR <= (others=>'0');
68 DDR3_BA <= (others=>'0');
69 DDR3_RAS_N <= '1';
70 DDR3_CAS_N <= '1';
71 DDR3_WE_N <= '1';
72 DDR3_RESET_N <= '1';
73 DDR3_CK_P <= (others=>'0');
74 DDR3_CK_N <= (others=>'1');
75 DDR3_CKE <= (others=>'0');
76 DDR3_CS_N <= (others=>'1');
77 DDR3_DM <= (others=>'0');
78 DDR3_ODT <= (others=>'0');
79
80end syn;
std_logic_vector( 13 downto 0) slv14
Definition: slvtypes.vhd:46
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 2 downto 0) slv3
Definition: slvtypes.vhd:35
std_logic_vector( 0 downto 0) slv1
Definition: slvtypes.vhd:33
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 1 downto 0) slv2
Definition: slvtypes.vhd:34