w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
ibdlib.vhd
Go to the documentation of this file.
1-- $Id: ibdlib.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2008-2019 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: ibdlib
7-- Description: Definitions for ibus devices
8--
9-- Dependencies: -
10-- Tool versions: ise 8.2-14.7; viv 2014.4-2018.3; ghdl 0.18-0.35
11-- Revision History:
12-- Date Rev Version Comment
13-- 2019-04-28 1142 1.3.8 add ibd_m9312
14-- 2019-04-26 1139 1.3.7 add ibdr_dl11_buf
15-- 2019-04-14 1131 1.3.6 RLIM_CEV now slv8
16-- 2019-04-07 1129 1.3.5 add ibdr_pc11_buf
17-- 2019-04-07 1128 1.3.4 ibdr_dl11: use RLIM_CEV, drop CE_USEC
18-- 2019-03-09 1121 1.3.3 add ibdr_lp11_buf
19-- 2018-10-13 1055 1.3.2 update ibdr_maxisys (add IDEC port)
20-- 2018-09-08 1043 1.3.1 update ibd_kw11p
21-- 2017-01-29 847 1.3.1 add ibdr_deuna
22-- 2015-05-09 676 1.3 start/stop/suspend overhaul
23-- 2015-03-13 658 1.2.1 add rprm declaration (later renaned to rhrp)
24-- 2014-06-08 561 1.2 fix rl11 declaration
25-- 2011-11-18 427 1.1.2 now numeric_std clean
26-- 2010-10-23 335 1.1.1 rename RRI_LAM->RB_LAM;
27-- 2010-06-11 303 1.1 use IB_MREQ.racc instead of RRI_REQ
28-- 2009-07-12 233 1.0.5 add RESET, CE_USEC to _dl11, CE_USEC to _minisys
29-- 2009-06-07 224 1.0.4 add iist_mreq and iist_sreq;
30-- 2009-06-01 221 1.0.3 add RESET to kw11l; add iist;
31-- 2009-05-30 220 1.0.2 add most additional device def's
32-- 2009-05-24 219 1.0.1 add CE_MSEC to _rk11; add _maxisys
33-- 2008-08-22 161 1.0 Initial version (extracted from pdp11.vhd)
34------------------------------------------------------------------------------
35
36library ieee;
37use ieee.std_logic_1164.all;
38use ieee.numeric_std.all;
39
40use work.slvtypes.all;
41use work.iblib.all;
42
43package ibdlib is
44
45type iist_line_type is record -- iist line
46 dcf : slbit; -- disconnect flag
47 req : slbit; -- request
48 stf : slbit; -- sanity timer flag
49 imask : slv4; -- interrupt mask
50 bmask : slv4; -- boot mask
51 par : slbit; -- parity (odd)
52 frm : slbit; -- frame error flag
53end record iist_line_type;
54
55constant iist_line_init : iist_line_type := ('1','0','0',"0000","0000",'0','0');
56
57type iist_bus_type is array (3 downto 0) of iist_line_type;
59
60type iist_mreq_type is record -- iist->cpu requests
61 lock : slbit; -- lock-up CPU
62 boot : slbit; -- boot-up CPU
63end record iist_mreq_type;
64
65constant iist_mreq_init : iist_mreq_type := ('0','0');
66
67type iist_sres_type is record -- cpu->iist responses
68 ack_lock : slbit; -- release lock
69 ack_boot : slbit; -- boot started
70end record iist_sres_type;
71
72constant iist_sres_init : iist_sres_type := ('0','0');
73
74-- ise 13.1 xst can bug check if generic defaults in a package are defined via
75-- 'slv(to_unsigned())'. The conv_ construct prior to numeric_std was ok.
76-- As workaround the ibus default addresses are defined here as constant.
77constant ibaddr_dz11 : slv16 := slv(to_unsigned(8#160100#,16));
78constant ibaddr_dl11 : slv16 := slv(to_unsigned(8#177560#,16));
79
80component ibd_iist is -- ibus dev(loc): IIST
81 -- fixed address: 177500
82 generic (
83 SID : slv2 := "00"); -- self id
84 port (
85 CLK : in slbit; -- clock
86 CE_USEC : in slbit; -- usec pulse
87 RESET : in slbit; -- system reset
88 BRESET : in slbit; -- ibus reset
89 IB_MREQ : in ib_mreq_type; -- ibus request
90 IB_SRES : out ib_sres_type; -- ibus response
91 EI_REQ : out slbit; -- interrupt request
92 EI_ACK : in slbit; -- interrupt acknowledge
93 IIST_BUS : in iist_bus_type; -- iist bus (input from all iist's)
94 IIST_OUT : out iist_line_type; -- iist output
95 IIST_MREQ : out iist_mreq_type; -- iist->cpu requests
96 IIST_SRES : in iist_sres_type -- cpu->iist responses
97 );
98end component;
99
100component ibd_kw11p is -- ibus dev(loc): KW11-P (prog clock)
101 -- fixed address: 172540
102 port (
103 CLK : in slbit; -- clock
104 CE_USEC : in slbit; -- usec pulse
105 CE_MSEC : in slbit; -- msec pulse
106 RESET : in slbit; -- system reset
107 BRESET : in slbit; -- ibus reset
108 EXTEVT : in slbit; -- external event for RATE="11"
109 CPUSUSP : in slbit; -- cpu suspended
110 IB_MREQ : in ib_mreq_type; -- ibus request
111 IB_SRES : out ib_sres_type; -- ibus response
112 EI_REQ : out slbit; -- interrupt request
113 EI_ACK : in slbit -- interrupt acknowledge
114 );
115end component;
116
117component ibd_kw11l is -- ibus dev(loc): KW11-L (line clock)
118 -- fixed address: 177546
119 port (
120 CLK : in slbit; -- clock
121 CE_MSEC : in slbit; -- msec pulse
122 RESET : in slbit; -- system reset
123 BRESET : in slbit; -- ibus reset
124 CPUSUSP : in slbit; -- cpu suspended
125 IB_MREQ : in ib_mreq_type; -- ibus request
126 IB_SRES : out ib_sres_type; -- ibus response
127 EI_REQ : out slbit; -- interrupt request
128 EI_ACK : in slbit -- interrupt acknowledge
129 );
130end component;
131
132component ibdr_deuna is -- ibus dev(rem): DEUNA
133 -- fixed address: 174510
134 port (
135 CLK : in slbit; -- clock
136 BRESET : in slbit; -- ibus reset
137 RB_LAM : out slbit; -- remote attention
138 IB_MREQ : in ib_mreq_type; -- ibus request
139 IB_SRES : out ib_sres_type; -- ibus response
140 EI_REQ : out slbit; -- interrupt request
141 EI_ACK : in slbit -- interrupt acknowledge
142 );
143end component;
144
145component ibdr_rhrp is -- ibus dev(rem): RH+RP
146 -- fixed address: 174400
147 port (
148 CLK : in slbit; -- clock
149 CE_USEC : in slbit; -- usec pulse
150 BRESET : in slbit; -- ibus reset
151 ITIMER : in slbit; -- instruction timer
152 RB_LAM : out slbit; -- remote attention
153 IB_MREQ : in ib_mreq_type; -- ibus request
154 IB_SRES : out ib_sres_type; -- ibus response
155 EI_REQ : out slbit; -- interrupt request
156 EI_ACK : in slbit -- interrupt acknowledge
157 );
158end component;
159
160component ibdr_rl11 is -- ibus dev(rem): RL11
161 -- fixed address: 174400
162 port (
163 CLK : in slbit; -- clock
164 CE_MSEC : in slbit; -- msec pulse
165 BRESET : in slbit; -- ibus reset
166 RB_LAM : out slbit; -- remote attention
167 IB_MREQ : in ib_mreq_type; -- ibus request
168 IB_SRES : out ib_sres_type; -- ibus response
169 EI_REQ : out slbit; -- interrupt request
170 EI_ACK : in slbit -- interrupt acknowledge
171 );
172end component;
173
174component ibdr_rk11 is -- ibus dev(rem): RK11
175 -- fixed address: 177400
176 port (
177 CLK : in slbit; -- clock
178 CE_MSEC : in slbit; -- msec pulse
179 BRESET : in slbit; -- ibus reset
180 RB_LAM : out slbit; -- remote attention
181 IB_MREQ : in ib_mreq_type; -- ibus request
182 IB_SRES : out ib_sres_type; -- ibus response
183 EI_REQ : out slbit; -- interrupt request
184 EI_ACK : in slbit -- interrupt acknowledge
185 );
186end component;
187
188component ibdr_tm11 is -- ibus dev(rem): TM11
189 -- fixed address: 172520
190 port (
191 CLK : in slbit; -- clock
192 BRESET : in slbit; -- ibus reset
193 RB_LAM : out slbit; -- remote attention
194 IB_MREQ : in ib_mreq_type; -- ibus request
195 IB_SRES : out ib_sres_type; -- ibus response
196 EI_REQ : out slbit; -- interrupt request
197 EI_ACK : in slbit -- interrupt acknowledge
198 );
199end component;
200
201component ibdr_dz11 is -- ibus dev(rem): DZ11
202 generic (
203 IB_ADDR : slv16 := ibaddr_dz11;
204 AWIDTH : natural := 5); -- fifo address width
205 port (
206 CLK : in slbit; -- clock
207 RESET : in slbit; -- system reset
208 BRESET : in slbit; -- ibus reset
209 RLIM_CEV : in slv8; -- clock enable vector
210 RB_LAM : out slbit; -- remote attention
211 IB_MREQ : in ib_mreq_type; -- ibus request
212 IB_SRES : out ib_sres_type; -- ibus response
213 EI_REQ_RX : out slbit; -- interrupt request, receiver
214 EI_REQ_TX : out slbit; -- interrupt request, transmitter
215 EI_ACK_RX : in slbit; -- interrupt acknowledge, receiver
216 EI_ACK_TX : in slbit -- interrupt acknowledge, transmitter
217 );
218end component;
219
220component ibdr_dl11 is -- ibus dev(rem): DL11-A/B
221 generic (
222 IB_ADDR : slv16 := ibaddr_dl11);
223 port (
224 CLK : in slbit; -- clock
225 RESET : in slbit; -- system reset
226 BRESET : in slbit; -- ibus reset
227 RLIM_CEV : in slv8; -- clock enable vector
228 RB_LAM : out slbit; -- remote attention
229 IB_MREQ : in ib_mreq_type; -- ibus request
230 IB_SRES : out ib_sres_type; -- ibus response
231 EI_REQ_RX : out slbit; -- interrupt request, receiver
232 EI_REQ_TX : out slbit; -- interrupt request, transmitter
233 EI_ACK_RX : in slbit; -- interrupt acknowledge, receiver
234 EI_ACK_TX : in slbit -- interrupt acknowledge, transmitter
235 );
236end component;
237
238component ibdr_dl11_buf is -- ibus dev(rem): DL11-A/B
239 generic (
240 IB_ADDR : slv16 := ibaddr_dl11;
241 AWIDTH : natural := 5); -- fifo address width
242 port (
243 CLK : in slbit; -- clock
244 RESET : in slbit; -- system reset
245 BRESET : in slbit; -- ibus reset
246 RLIM_CEV : in slv8; -- clock enable vector
247 RB_LAM : out slbit; -- remote attention
248 IB_MREQ : in ib_mreq_type; -- ibus request
249 IB_SRES : out ib_sres_type; -- ibus response
250 EI_REQ_RX : out slbit; -- interrupt request, receiver
251 EI_REQ_TX : out slbit; -- interrupt request, transmitter
252 EI_ACK_RX : in slbit; -- interrupt acknowledge, receiver
253 EI_ACK_TX : in slbit -- interrupt acknowledge, transmitter
254 );
255end component;
256
257component ibdr_pc11 is -- ibus dev(rem): PC11
258 -- fixed address: 177550
259 port (
260 CLK : in slbit; -- clock
261 RESET : in slbit; -- system reset
262 BRESET : in slbit; -- ibus reset
263 RB_LAM : out slbit; -- remote attention
264 IB_MREQ : in ib_mreq_type; -- ibus request
265 IB_SRES : out ib_sres_type; -- ibus response
266 EI_REQ_PTR : out slbit; -- interrupt request, reader
267 EI_REQ_PTP : out slbit; -- interrupt request, punch
268 EI_ACK_PTR : in slbit; -- interrupt acknowledge, reader
269 EI_ACK_PTP : in slbit -- interrupt acknowledge, punch
270 );
271end component;
272
273component ibdr_pc11_buf is -- ibus dev(rem): PC11 (buffered)
274 -- fixed address: 177550
275 generic (
276 AWIDTH : natural := 5); -- fifo address width
277 port (
278 CLK : in slbit; -- clock
279 RESET : in slbit; -- system reset
280 BRESET : in slbit; -- ibus reset
281 RLIM_CEV : in slv8; -- clock enable vector
282 RB_LAM : out slbit; -- remote attention
283 IB_MREQ : in ib_mreq_type; -- ibus request
284 IB_SRES : out ib_sres_type; -- ibus response
285 EI_REQ_PTR : out slbit; -- interrupt request, reader
286 EI_REQ_PTP : out slbit; -- interrupt request, punch
287 EI_ACK_PTR : in slbit; -- interrupt acknowledge, reader
288 EI_ACK_PTP : in slbit -- interrupt acknowledge, punch
289 );
290end component;
291
292component ibdr_lp11 is -- ibus dev(rem): LP11
293 -- fixed address: 177514
294 port (
295 CLK : in slbit; -- clock
296 RESET : in slbit; -- system reset
297 BRESET : in slbit; -- ibus reset
298 RB_LAM : out slbit; -- remote attention
299 IB_MREQ : in ib_mreq_type; -- ibus request
300 IB_SRES : out ib_sres_type; -- ibus response
301 EI_REQ : out slbit; -- interrupt request
302 EI_ACK : in slbit -- interrupt acknowledge
303 );
304end component;
305
306component ibdr_lp11_buf is -- ibus dev(rem): LP11 (buffered)
307 -- fixed address: 177514
308 generic (
309 AWIDTH : natural := 5); -- fifo address width
310 port (
311 CLK : in slbit; -- clock
312 RESET : in slbit; -- system reset
313 BRESET : in slbit; -- ibus reset
314 RLIM_CEV : in slv8; -- clock enable vector
315 RB_LAM : out slbit; -- remote attention
316 IB_MREQ : in ib_mreq_type; -- ibus request
317 IB_SRES : out ib_sres_type; -- ibus response
318 EI_REQ : out slbit; -- interrupt request
319 EI_ACK : in slbit -- interrupt acknowledge
320 );
321end component;
322
323component ibd_m9312 is -- ibus dev: M9312
324 -- fixed address: 165***,173***
325 port (
326 CLK : in slbit; -- clock
327 RESET : in slbit; -- system reset
328 IB_MREQ : in ib_mreq_type; -- ibus request
329 IB_SRES : out ib_sres_type -- ibus response
330 );
331end component;
332
333component ibdr_sdreg is -- ibus dev(rem): Switch/Display regs
334 -- fixed address: 177570
335 port (
336 CLK : in slbit; -- clock
337 RESET : in slbit; -- reset
338 IB_MREQ : in ib_mreq_type; -- ibus request
339 IB_SRES : out ib_sres_type; -- ibus response
340 DISPREG : out slv16 -- display register
341 );
342end component;
343
344component ibdr_minisys is -- ibus(rem) minimal sys:SDR+KW+DL+RK
345 port (
346 CLK : in slbit; -- clock
347 CE_USEC : in slbit; -- usec pulse
348 CE_MSEC : in slbit; -- msec pulse
349 RESET : in slbit; -- reset
350 BRESET : in slbit; -- ibus reset
351 RB_LAM : out slv16_1; -- remote attention vector
352 IB_MREQ : in ib_mreq_type; -- ibus request
353 IB_SRES : out ib_sres_type; -- ibus response
354 EI_ACKM : in slbit; -- interrupt acknowledge (from master)
355 EI_PRI : out slv3; -- interrupt priority (to cpu)
356 EI_VECT : out slv9_2; -- interrupt vector (to cpu)
357 DISPREG : out slv16 -- display register
358 );
359end component;
360
361component ibdr_maxisys is -- ibus(rem) full system
362 port (
363 CLK : in slbit; -- clock
364 CE_USEC : in slbit; -- usec pulse
365 CE_MSEC : in slbit; -- msec pulse
366 RESET : in slbit; -- reset
367 BRESET : in slbit; -- ibus reset
368 ITIMER : in slbit; -- instruction timer
369 IDEC : in slbit; -- instruction decode
370 CPUSUSP : in slbit; -- cpu suspended
371 RB_LAM : out slv16_1; -- remote attention vector
372 IB_MREQ : in ib_mreq_type; -- ibus request
373 IB_SRES : out ib_sres_type; -- ibus response
374 EI_ACKM : in slbit; -- interrupt acknowledge (from master)
375 EI_PRI : out slv3; -- interrupt priority (to cpu)
376 EI_VECT : out slv9_2; -- interrupt vector (to cpu)
377 DISPREG : out slv16 -- display register
378 );
379end component;
380
381end package ibdlib;
out EI_REQ slbit
Definition: ibd_iist.vhd:54
in RESET slbit
Definition: ibd_iist.vhd:50
out IIST_OUT iist_line_type
Definition: ibd_iist.vhd:57
in CE_USEC slbit
Definition: ibd_iist.vhd:49
out IIST_MREQ iist_mreq_type
Definition: ibd_iist.vhd:58
SID slv2 := "00"
Definition: ibd_iist.vhd:46
in BRESET slbit
Definition: ibd_iist.vhd:51
in IIST_SRES iist_sres_type
Definition: ibd_iist.vhd:60
in IIST_BUS iist_bus_type
Definition: ibd_iist.vhd:56
in CLK slbit
Definition: ibd_iist.vhd:48
in IB_MREQ ib_mreq_type
Definition: ibd_iist.vhd:52
out IB_SRES ib_sres_type
Definition: ibd_iist.vhd:53
in EI_ACK slbit
Definition: ibd_iist.vhd:55
out EI_REQ slbit
Definition: ibd_kw11l.vhd:52
in RESET slbit
Definition: ibd_kw11l.vhd:47
in BRESET slbit
Definition: ibd_kw11l.vhd:48
in CLK slbit
Definition: ibd_kw11l.vhd:45
in IB_MREQ ib_mreq_type
Definition: ibd_kw11l.vhd:50
in CPUSUSP slbit
Definition: ibd_kw11l.vhd:49
out IB_SRES ib_sres_type
Definition: ibd_kw11l.vhd:51
in EI_ACK slbit
Definition: ibd_kw11l.vhd:54
in CE_MSEC slbit
Definition: ibd_kw11l.vhd:46
out EI_REQ slbit
Definition: ibd_kw11p.vhd:45
in RESET slbit
Definition: ibd_kw11p.vhd:39
in CE_USEC slbit
Definition: ibd_kw11p.vhd:37
in EXTEVT slbit
Definition: ibd_kw11p.vhd:41
in BRESET slbit
Definition: ibd_kw11p.vhd:40
in CLK slbit
Definition: ibd_kw11p.vhd:36
in IB_MREQ ib_mreq_type
Definition: ibd_kw11p.vhd:43
in CPUSUSP slbit
Definition: ibd_kw11p.vhd:42
out IB_SRES ib_sres_type
Definition: ibd_kw11p.vhd:44
in EI_ACK slbit
Definition: ibd_kw11p.vhd:47
in CE_MSEC slbit
Definition: ibd_kw11p.vhd:38
in RESET slbit
Definition: ibd_m9312.vhd:33
in CLK slbit
Definition: ibd_m9312.vhd:32
in IB_MREQ ib_mreq_type
Definition: ibd_m9312.vhd:34
out IB_SRES ib_sres_type
Definition: ibd_m9312.vhd:36
slv16 := slv( to_unsigned( 8#160100#, 16) ) ibaddr_dz11
Definition: ibdlib.vhd:77
iist_sres_type
Definition: ibdlib.vhd:67
iist_bus_type :=( others => iist_line_init) iist_bus_init
Definition: ibdlib.vhd:58
iist_mreq_type
Definition: ibdlib.vhd:60
iist_line_type :=( '1', '0', '0', "0000", "0000", '0', '0') iist_line_init
Definition: ibdlib.vhd:55
iist_sres_type :=( '0', '0') iist_sres_init
Definition: ibdlib.vhd:72
slv16 := slv( to_unsigned( 8#177560#, 16) ) ibaddr_dl11
Definition: ibdlib.vhd:78
( 3 downto 0) iist_line_type iist_bus_type
Definition: ibdlib.vhd:57
iist_mreq_type :=( '0', '0') iist_mreq_init
Definition: ibdlib.vhd:65
iist_line_type
Definition: ibdlib.vhd:45
out EI_REQ slbit
Definition: ibdr_deuna.vhd:43
in BRESET slbit
Definition: ibdr_deuna.vhd:39
out RB_LAM slbit
Definition: ibdr_deuna.vhd:40
in CLK slbit
Definition: ibdr_deuna.vhd:38
in IB_MREQ ib_mreq_type
Definition: ibdr_deuna.vhd:41
out IB_SRES ib_sres_type
Definition: ibdr_deuna.vhd:42
in EI_ACK slbit
Definition: ibdr_deuna.vhd:45
IB_ADDR slv16 := slv( to_unsigned( 8#177560#, 16) )
in RESET slbit
AWIDTH natural := 5
in EI_ACK_TX slbit
in EI_ACK_RX slbit
in BRESET slbit
out RB_LAM slbit
in CLK slbit
out EI_REQ_RX slbit
in IB_MREQ ib_mreq_type
out IB_SRES ib_sres_type
in RLIM_CEV slv8
out EI_REQ_TX slbit
IB_ADDR slv16 := slv( to_unsigned( 8#177560#, 16) )
Definition: ibdr_dl11.vhd:53
in RESET slbit
Definition: ibdr_dl11.vhd:56
in EI_ACK_TX slbit
Definition: ibdr_dl11.vhd:66
in EI_ACK_RX slbit
Definition: ibdr_dl11.vhd:64
in BRESET slbit
Definition: ibdr_dl11.vhd:57
out RB_LAM slbit
Definition: ibdr_dl11.vhd:59
in CLK slbit
Definition: ibdr_dl11.vhd:55
out EI_REQ_RX slbit
Definition: ibdr_dl11.vhd:62
in IB_MREQ ib_mreq_type
Definition: ibdr_dl11.vhd:60
out IB_SRES ib_sres_type
Definition: ibdr_dl11.vhd:61
in RLIM_CEV slv8
Definition: ibdr_dl11.vhd:58
out EI_REQ_TX slbit
Definition: ibdr_dl11.vhd:63
in RESET slbit
Definition: ibdr_dz11.vhd:37
AWIDTH natural := 5
Definition: ibdr_dz11.vhd:34
in EI_ACK_TX slbit
Definition: ibdr_dz11.vhd:47
in EI_ACK_RX slbit
Definition: ibdr_dz11.vhd:45
in BRESET slbit
Definition: ibdr_dz11.vhd:38
IB_ADDR slv16 := slv( to_unsigned( 8#160100#, 16) )
Definition: ibdr_dz11.vhd:33
out RB_LAM slbit
Definition: ibdr_dz11.vhd:40
in CLK slbit
Definition: ibdr_dz11.vhd:36
out EI_REQ_RX slbit
Definition: ibdr_dz11.vhd:43
in IB_MREQ ib_mreq_type
Definition: ibdr_dz11.vhd:41
out IB_SRES ib_sres_type
Definition: ibdr_dz11.vhd:42
in RLIM_CEV slv8
Definition: ibdr_dz11.vhd:39
out EI_REQ_TX slbit
Definition: ibdr_dz11.vhd:44
out EI_REQ slbit
in RESET slbit
AWIDTH natural := 5
in BRESET slbit
out RB_LAM slbit
in CLK slbit
in IB_MREQ ib_mreq_type
out IB_SRES ib_sres_type
in EI_ACK slbit
in RLIM_CEV slv8
out EI_REQ slbit
Definition: ibdr_lp11.vhd:56
in RESET slbit
Definition: ibdr_lp11.vhd:51
in BRESET slbit
Definition: ibdr_lp11.vhd:52
out RB_LAM slbit
Definition: ibdr_lp11.vhd:53
in CLK slbit
Definition: ibdr_lp11.vhd:50
in IB_MREQ ib_mreq_type
Definition: ibdr_lp11.vhd:54
out IB_SRES ib_sres_type
Definition: ibdr_lp11.vhd:55
in EI_ACK slbit
Definition: ibdr_lp11.vhd:58
in RESET slbit
out DISPREG slv16
in CE_USEC slbit
out EI_PRI slv3
in BRESET slbit
in IDEC slbit
out EI_VECT slv9_2
in ITIMER slbit
in CLK slbit
in IB_MREQ ib_mreq_type
in CPUSUSP slbit
out IB_SRES ib_sres_type
in EI_ACKM slbit
out RB_LAM slv16_1
in CE_MSEC slbit
in RESET slbit
out DISPREG slv16
in CE_USEC slbit
out EI_PRI slv3
in BRESET slbit
out EI_VECT slv9_2
in CLK slbit
in IB_MREQ ib_mreq_type
out IB_SRES ib_sres_type
in EI_ACKM slbit
out RB_LAM slv16_1
in CE_MSEC slbit
in RESET slbit
AWIDTH natural := 5
in BRESET slbit
out EI_REQ_PTP slbit
out RB_LAM slbit
in CLK slbit
in EI_ACK_PTP slbit
in IB_MREQ ib_mreq_type
in EI_ACK_PTR slbit
out IB_SRES ib_sres_type
out EI_REQ_PTR slbit
in RLIM_CEV slv8
in RESET slbit
Definition: ibdr_pc11.vhd:48
in BRESET slbit
Definition: ibdr_pc11.vhd:49
out EI_REQ_PTP slbit
Definition: ibdr_pc11.vhd:54
out RB_LAM slbit
Definition: ibdr_pc11.vhd:50
in CLK slbit
Definition: ibdr_pc11.vhd:47
in EI_ACK_PTP slbit
Definition: ibdr_pc11.vhd:57
in IB_MREQ ib_mreq_type
Definition: ibdr_pc11.vhd:51
in EI_ACK_PTR slbit
Definition: ibdr_pc11.vhd:55
out IB_SRES ib_sres_type
Definition: ibdr_pc11.vhd:52
out EI_REQ_PTR slbit
Definition: ibdr_pc11.vhd:53
out EI_REQ slbit
Definition: ibdr_rhrp.vhd:50
in CE_USEC slbit
Definition: ibdr_rhrp.vhd:44
in BRESET slbit
Definition: ibdr_rhrp.vhd:45
out RB_LAM slbit
Definition: ibdr_rhrp.vhd:47
in ITIMER slbit
Definition: ibdr_rhrp.vhd:46
in CLK slbit
Definition: ibdr_rhrp.vhd:43
in IB_MREQ ib_mreq_type
Definition: ibdr_rhrp.vhd:48
out IB_SRES ib_sres_type
Definition: ibdr_rhrp.vhd:49
in EI_ACK slbit
Definition: ibdr_rhrp.vhd:52
out EI_REQ slbit
Definition: ibdr_rk11.vhd:62
in BRESET slbit
Definition: ibdr_rk11.vhd:58
out RB_LAM slbit
Definition: ibdr_rk11.vhd:59
in CLK slbit
Definition: ibdr_rk11.vhd:56
in IB_MREQ ib_mreq_type
Definition: ibdr_rk11.vhd:60
out IB_SRES ib_sres_type
Definition: ibdr_rk11.vhd:61
in EI_ACK slbit
Definition: ibdr_rk11.vhd:64
in CE_MSEC slbit
Definition: ibdr_rk11.vhd:57
out EI_REQ slbit
Definition: ibdr_rl11.vhd:45
in BRESET slbit
Definition: ibdr_rl11.vhd:41
out RB_LAM slbit
Definition: ibdr_rl11.vhd:42
in CLK slbit
Definition: ibdr_rl11.vhd:39
in IB_MREQ ib_mreq_type
Definition: ibdr_rl11.vhd:43
out IB_SRES ib_sres_type
Definition: ibdr_rl11.vhd:44
in EI_ACK slbit
Definition: ibdr_rl11.vhd:47
in CE_MSEC slbit
Definition: ibdr_rl11.vhd:40
in RESET slbit
Definition: ibdr_sdreg.vhd:45
out DISPREG slv16
Definition: ibdr_sdreg.vhd:49
in CLK slbit
Definition: ibdr_sdreg.vhd:44
in IB_MREQ ib_mreq_type
Definition: ibdr_sdreg.vhd:46
out IB_SRES ib_sres_type
Definition: ibdr_sdreg.vhd:47
out EI_REQ slbit
Definition: ibdr_tm11.vhd:42
in BRESET slbit
Definition: ibdr_tm11.vhd:38
out RB_LAM slbit
Definition: ibdr_tm11.vhd:39
in CLK slbit
Definition: ibdr_tm11.vhd:37
in IB_MREQ ib_mreq_type
Definition: ibdr_tm11.vhd:40
out IB_SRES ib_sres_type
Definition: ibdr_tm11.vhd:41
in EI_ACK slbit
Definition: ibdr_tm11.vhd:44
Definition: iblib.vhd:33
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 2 downto 0) slv3
Definition: slvtypes.vhd:35
std_logic_vector( 8 downto 2) slv9_2
Definition: slvtypes.vhd:65
std_logic_vector( 15 downto 1) slv16_1
Definition: slvtypes.vhd:67
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40
std_logic_vector( 1 downto 0) slv2
Definition: slvtypes.vhd:34
std_logic_vector slv
Definition: slvtypes.vhd:31