w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
ibdr_lp11_buf Entity Reference
Inheritance diagram for ibdr_lp11_buf:
[legend]
Collaboration diagram for ibdr_lp11_buf:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
memlib  Package <memlib>
iblib  Package <iblib>

Generics

AWIDTH  natural := 5

Ports

CLK   in   slbit
RESET   in   slbit
BRESET   in   slbit
RLIM_CEV   in   slv8
RB_LAM   out   slbit
IB_MREQ   in   ib_mreq_type
IB_SRES   out   ib_sres_type
EI_REQ   out   slbit
EI_ACK   in   slbit

Detailed Description

Definition at line 40 of file ibdr_lp11_buf.vhd.

Member Data Documentation

◆ AWIDTH

AWIDTH natural := 5
Generic

Definition at line 43 of file ibdr_lp11_buf.vhd.

◆ CLK

CLK in slbit
Port

Definition at line 45 of file ibdr_lp11_buf.vhd.

◆ RESET

RESET in slbit
Port

Definition at line 46 of file ibdr_lp11_buf.vhd.

◆ BRESET

BRESET in slbit
Port

Definition at line 47 of file ibdr_lp11_buf.vhd.

◆ RLIM_CEV

RLIM_CEV in slv8
Port

Definition at line 48 of file ibdr_lp11_buf.vhd.

◆ RB_LAM

RB_LAM out slbit
Port

Definition at line 49 of file ibdr_lp11_buf.vhd.

◆ IB_MREQ

IB_MREQ in ib_mreq_type
Port

Definition at line 50 of file ibdr_lp11_buf.vhd.

◆ IB_SRES

IB_SRES out ib_sres_type
Port

Definition at line 51 of file ibdr_lp11_buf.vhd.

◆ EI_REQ

EI_REQ out slbit
Port

Definition at line 52 of file ibdr_lp11_buf.vhd.

◆ EI_ACK

EI_ACK in slbit
Port

Definition at line 54 of file ibdr_lp11_buf.vhd.

◆ ieee

ieee
Library

Definition at line 31 of file ibdr_lp11_buf.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 32 of file ibdr_lp11_buf.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 33 of file ibdr_lp11_buf.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 35 of file ibdr_lp11_buf.vhd.

◆ memlib

memlib
use clause

Definition at line 36 of file ibdr_lp11_buf.vhd.

◆ iblib

iblib
use clause

Definition at line 37 of file ibdr_lp11_buf.vhd.


The documentation for this design unit was generated from the following file: