w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_conf.vhd
Go to the documentation of this file.
1-- $Id: sys_conf.vhd 1325 2022-12-07 11:52:36Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007-2022 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: sys_conf
7-- Description: Definitions for sys_w11a_s3 (for synthesis)
8--
9-- Dependencies: -
10-- Tool versions: xst 8.1-14.7; ghdl 0.18-2022.1
11-- Revision History:
12-- Date Rev Version Comment
13-- 2022-12-05 1324 1.4.2 disable dmhbpt,dmcmon,m9312 for timing closure
14-- 2019-04-28 1142 1.4.1 add sys_conf_ibd_m9312
15-- 2019-02-09 1110 1.4 use typ for DL,PC,LP; add dz11,ibtst
16-- 2019-01-27 1108 1.3.7 drop iist
17-- 2018-09-22 1050 1.3.6 add sys_conf_dmpcnt
18-- 2018-09-08 1043 1.3.5 add sys_conf_ibd_kw11p
19-- 2017-04-22 884 1.3.4 use sys_conf_dmcmon_awidth=8 (proper value)
20-- 2017-03-04 858 1.3.3 enable deuna
21-- 2017-01-29 847 1.3.2 add sys_conf_ibd_deuna
22-- 2016-05-27 770 1.3.1 sys_conf_mem_losize now type natural
23-- 2016-03-22 750 1.3 add sys_conf_cache_twidth
24-- 2015-06-26 695 1.2.1 add sys_conf_(dmscnt|dmhbpt*|dmcmon*)
25-- 2015-03-14 658 1.2 add sys_conf_ibd_* definitions
26-- 2014-12-22 619 1.1.2 add _rbmon_awidth
27-- 2010-05-05 288 1.1.1 add sys_conf_hio_debounce
28-- 2008-02-23 118 1.1 add memory config
29-- 2007-09-23 84 1.0 Initial version
30------------------------------------------------------------------------------
31
32library ieee;
33use ieee.std_logic_1164.all;
34
35use work.slvtypes.all;
36
37package sys_conf is
38
39 -- configure rlink and hio interfaces --------------------------------------
40 constant sys_conf_ser2rri_cdinit : integer := 434-1; -- 50000000/115200
41 constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers
42
43 -- configure debug and monitoring units ------------------------------------
44 constant sys_conf_rbmon_awidth : integer := 9; -- use 0 to disable
45 constant sys_conf_ibmon_awidth : integer := 9; -- use 0 to disable
46 constant sys_conf_ibtst : boolean := true;
47 constant sys_conf_dmscnt : boolean := true;
48 constant sys_conf_dmpcnt : boolean := true;
49 constant sys_conf_dmhbpt_nunit : integer := 0; -- use 0 to disable
50 constant sys_conf_dmcmon_awidth : integer := 0; -- use 0 to disable
51
52 -- configure w11 cpu core --------------------------------------------------
53 constant sys_conf_mem_losize : natural := 8#037777#; -- 1 MByte
54
55 constant sys_conf_cache_fmiss : slbit := '0'; -- cache enabled
56 constant sys_conf_cache_twidth : integer := 9; -- 8kB cache
57
58 -- configure w11 system devices --------------------------------------------
59 -- configure character and communication devices
60 -- typ for DL,DZ,PC,LP: -1->none; 0->unbuffered; 4-7 buffered (typ=AWIDTH)
61 constant sys_conf_ibd_dl11_0 : integer := 4; -- 1st DL11
62 constant sys_conf_ibd_dl11_1 : integer := 4; -- 2nd DL11
63 constant sys_conf_ibd_dz11 : integer := 5; -- DZ11
64 constant sys_conf_ibd_pc11 : integer := 4; -- PC11
65 constant sys_conf_ibd_lp11 : integer := 5; -- LP11
66 constant sys_conf_ibd_deuna : boolean := false; -- DEUNA
67
68 -- configure mass storage devices
69 constant sys_conf_ibd_rk11 : boolean := true; -- RK11
70 constant sys_conf_ibd_rl11 : boolean := true; -- RL11
71 constant sys_conf_ibd_rhrp : boolean := true; -- RHRP
72 constant sys_conf_ibd_tm11 : boolean := true; -- TM11
73
74 -- configure other devices
75 constant sys_conf_ibd_iist : boolean := false; -- IIST
76 constant sys_conf_ibd_kw11p : boolean := false; -- KW11P
77 constant sys_conf_ibd_m9312 : boolean := false; -- M9312
78
79end package sys_conf;
std_logic slbit
Definition: slvtypes.vhd:30
boolean := true sys_conf_dmpcnt
Definition: sys_conf.vhd:49
boolean := true sys_conf_ibd_kw11p
Definition: sys_conf.vhd:44
integer := 5 sys_conf_ibd_dz11
Definition: sys_conf.vhd:31
slbit := '0' sys_conf_cache_fmiss
Definition: sys_conf.vhd:55
integer :=( sys_conf_clkser/ sys_conf_ser2rri_defbaud)- 1 sys_conf_ser2rri_cdinit
Definition: sys_conf.vhd:48
integer := 9 sys_conf_ibmon_awidth
Definition: sys_conf.vhd:46
boolean := true sys_conf_ibd_rl11
Definition: sys_conf.vhd:38
boolean := true sys_conf_ibd_iist
Definition: sys_conf.vhd:43
integer := 4 sys_conf_ibd_pc11
Definition: sys_conf.vhd:32
integer := 5 sys_conf_ibd_lp11
Definition: sys_conf.vhd:33
boolean := true sys_conf_ibd_tm11
Definition: sys_conf.vhd:40
boolean := true sys_conf_hio_debounce
Definition: sys_conf.vhd:33
natural := 8#167777# sys_conf_mem_losize
Definition: sys_conf.vhd:54
boolean := true sys_conf_ibd_rk11
Definition: sys_conf.vhd:37
integer := 8 sys_conf_dmcmon_awidth
Definition: sys_conf.vhd:51
boolean := false sys_conf_dmscnt
Definition: sys_conf.vhd:48
integer := 9 sys_conf_rbmon_awidth
Definition: sys_conf.vhd:45
integer := 4 sys_conf_ibd_dl11_0
Definition: sys_conf.vhd:29
integer := 7 sys_conf_cache_twidth
Definition: sys_conf.vhd:56
boolean := true sys_conf_ibtst
Definition: sys_conf.vhd:47
boolean := true sys_conf_ibd_deuna
Definition: sys_conf.vhd:34
integer := 4 sys_conf_ibd_dl11_1
Definition: sys_conf.vhd:30
integer := 2 sys_conf_dmhbpt_nunit
Definition: sys_conf.vhd:50
boolean := true sys_conf_ibd_rhrp
Definition: sys_conf.vhd:39
boolean := true sys_conf_ibd_m9312
Definition: sys_conf.vhd:45