w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_conf.vhd
Go to the documentation of this file.
1-- $Id: sys_conf.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2013-2019 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: sys_conf
7-- Description: Definitions for sys_w11a_n4 (for synthesis)
8--
9-- Dependencies: -
10-- Tool versions: ise 14.5-14.7; viv 2014.4-2018.3; ghdl 0.29-0.35
11-- Revision History:
12-- Date Rev Version Comment
13-- 2019-04-28 1142 1.6.1 add sys_conf_ibd_m9312
14-- 2019-02-09 1110 1.6 use typ for DL,PC,LP; add dz11,ibtst
15-- 2018-09-22 1050 1.5.6 add sys_conf_dmpcnt
16-- 2018-09-09 1044 1.5.5 use _cache_twidth TW=7 (32 kByte), timing issues
17-- 2018-09-08 1043 1.5.4 add sys_conf_ibd_kw11p
18-- 2017-04-22 884 1.5.3 re-enable dmcmon
19-- 2017-03-04 858 1.5.2 enable deuna
20-- 2017-01-29 847 1.5.1 add sys_conf_ibd_deuna
21-- 2016-07-16 788 1.5 use cram_*delay functions to determine delays
22-- 2016-06-18 775 1.4.5 use PLL for clkser_gentype
23-- 2016-06-04 772 1.4.4 go for 80 MHz and 64 kB cache, best compromise
24-- 2016-05-28 771 1.4.3 set dmcmon_awidth=0, useless without dmscnt
25-- 2016-05-28 770 1.4.2 sys_conf_mem_losize now type natural
26-- 2016-05-26 768 1.4.1 set dmscnt=0 (vivado fsm issue); TW=8 (@90 MHz)
27-- 2016-03-28 755 1.4 use serport_2clock2 -> define clkser (@75 MHz)
28-- 2016-03-22 750 1.3 add sys_conf_cache_twidth, use TW=8 (16 kByte)
29-- 2016-03-13 742 1.2.2 add sysmon_bus
30-- 2015-06-26 695 1.2.1 add sys_conf_(dmscnt|dmhbpt*|dmcmon*)
31-- 2015-03-14 658 1.2 add sys_conf_ibd_* definitions
32-- 2015-02-07 643 1.1 drop bram and minisys options
33-- 2013-09-22 534 1.0 Initial version (derived from _n3 version)
34------------------------------------------------------------------------------
35
36library ieee;
37use ieee.std_logic_1164.all;
38
39use work.slvtypes.all;
40use work.nxcramlib.all;
41
42package sys_conf is
43
44 -- configure clocks --------------------------------------------------------
45 constant sys_conf_clksys_vcodivide : positive := 1;
46 constant sys_conf_clksys_vcomultiply : positive := 8; -- vco 800 MHz
47 constant sys_conf_clksys_outdivide : positive := 10; -- sys 80 MHz
48 constant sys_conf_clksys_gentype : string := "MMCM";
49 -- dual clock design, clkser = 120 MHz
50 constant sys_conf_clkser_vcodivide : positive := 1;
51 constant sys_conf_clkser_vcomultiply : positive := 12; -- vco 1200 MHz
52 constant sys_conf_clkser_outdivide : positive := 10; -- sys 120 MHz
53 constant sys_conf_clkser_gentype : string := "PLL";
54
55 -- configure rlink and hio interfaces --------------------------------------
56 constant sys_conf_ser2rri_defbaud : integer := 115200; -- default 115k baud
57 constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers
58
59 -- configure memory controller ---------------------------------------------
60 -- now under derived constants
61
62 -- configure debug and monitoring units ------------------------------------
63 constant sys_conf_rbmon_awidth : integer := 9; -- use 0 to disable
64 constant sys_conf_ibmon_awidth : integer := 9; -- use 0 to disable
65 constant sys_conf_ibtst : boolean := true;
66 constant sys_conf_dmscnt : boolean := false;
67 constant sys_conf_dmpcnt : boolean := true;
68 constant sys_conf_dmhbpt_nunit : integer := 2; -- use 0 to disable
69 constant sys_conf_dmcmon_awidth : integer := 8; -- use 0 to disable, 8 to use
70 constant sys_conf_rbd_sysmon : boolean := true; -- SYSMON(XADC)
71
72 -- configure w11 cpu core --------------------------------------------------
73 constant sys_conf_mem_losize : natural := 8#167777#; -- 4 MByte
74
75 constant sys_conf_cache_fmiss : slbit := '0'; -- cache enabled
76 constant sys_conf_cache_twidth : integer := 7; -- 32kB cache
77
78 -- configure w11 system devices --------------------------------------------
79 -- configure character and communication devices
80 -- typ for DL,DZ,PC,LP: -1->none; 0->unbuffered; 4-7 buffered (typ=AWIDTH)
81 constant sys_conf_ibd_dl11_0 : integer := 6; -- 1st DL11
82 constant sys_conf_ibd_dl11_1 : integer := 6; -- 2nd DL11
83 constant sys_conf_ibd_dz11 : integer := 6; -- DZ11
84 constant sys_conf_ibd_pc11 : integer := 6; -- PC11
85 constant sys_conf_ibd_lp11 : integer := 7; -- LP11
86 constant sys_conf_ibd_deuna : boolean := true; -- DEUNA
87
88 -- configure mass storage devices
89 constant sys_conf_ibd_rk11 : boolean := true; -- RK11
90 constant sys_conf_ibd_rl11 : boolean := true; -- RL11
91 constant sys_conf_ibd_rhrp : boolean := true; -- RHRP
92 constant sys_conf_ibd_tm11 : boolean := true; -- TM11
93
94 -- configure other devices
95 constant sys_conf_ibd_iist : boolean := true; -- IIST
96 constant sys_conf_ibd_kw11p : boolean := true; -- KW11P
97 constant sys_conf_ibd_m9312 : boolean := true; -- M9312
98
99 -- derived constants =======================================================
100 constant sys_conf_clksys : integer :=
103 constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
104
105 constant sys_conf_clkser : integer :=
108 constant sys_conf_clkser_mhz : integer := sys_conf_clkser/1000000;
109
110 constant sys_conf_ser2rri_cdinit : integer :=
112
113 -- configure memory controller ---------------------------------------------
114 constant sys_conf_memctl_read0delay : positive :=
115 cram_read0delay(sys_conf_clksys_mhz);
116 constant sys_conf_memctl_read1delay : positive :=
117 cram_read1delay(sys_conf_clksys_mhz);
118 constant sys_conf_memctl_writedelay : positive :=
119 cram_writedelay(sys_conf_clksys_mhz);
120
121end package sys_conf;
std_logic slbit
Definition: slvtypes.vhd:30
boolean := true sys_conf_dmpcnt
Definition: sys_conf.vhd:49
boolean := true sys_conf_ibd_kw11p
Definition: sys_conf.vhd:44
integer := 5 sys_conf_ibd_dz11
Definition: sys_conf.vhd:31
positive := 8 sys_conf_clksys_vcomultiply
positive := 10 sys_conf_clkser_outdivide
integer :=(( 100000000/ sys_conf_clksys_vcodivide)* sys_conf_clksys_vcomultiply)/ sys_conf_clksys_outdivide sys_conf_clksys
slbit := '0' sys_conf_cache_fmiss
Definition: sys_conf.vhd:55
integer := sys_conf_clkser/ 1000000 sys_conf_clkser_mhz
integer :=( sys_conf_clkser/ sys_conf_ser2rri_defbaud)- 1 sys_conf_ser2rri_cdinit
Definition: sys_conf.vhd:48
integer := sys_conf_clksys/ 1000000 sys_conf_clksys_mhz
positive := 1 sys_conf_clksys_vcodivide
integer := 9 sys_conf_ibmon_awidth
Definition: sys_conf.vhd:46
boolean := true sys_conf_ibd_rl11
Definition: sys_conf.vhd:38
boolean := true sys_conf_rbd_sysmon
Definition: sys_conf.vhd:36
positive := 12 sys_conf_clkser_vcomultiply
positive := cram_writedelay( sys_conf_clksys_mhz ) sys_conf_memctl_writedelay
Definition: sys_conf.vhd:48
boolean := true sys_conf_ibd_iist
Definition: sys_conf.vhd:43
integer := 4 sys_conf_ibd_pc11
Definition: sys_conf.vhd:32
positive := 1 sys_conf_clkser_vcodivide
integer := 5 sys_conf_ibd_lp11
Definition: sys_conf.vhd:33
string := "MMCM" sys_conf_clkser_gentype
integer :=(( 100000000/ sys_conf_clkser_vcodivide)* sys_conf_clkser_vcomultiply)/ sys_conf_clkser_outdivide sys_conf_clkser
boolean := true sys_conf_ibd_tm11
Definition: sys_conf.vhd:40
boolean := true sys_conf_hio_debounce
Definition: sys_conf.vhd:33
natural := 8#167777# sys_conf_mem_losize
Definition: sys_conf.vhd:54
positive := 10 sys_conf_clksys_outdivide
boolean := true sys_conf_ibd_rk11
Definition: sys_conf.vhd:37
integer := 8 sys_conf_dmcmon_awidth
Definition: sys_conf.vhd:51
boolean := false sys_conf_dmscnt
Definition: sys_conf.vhd:48
integer := 9 sys_conf_rbmon_awidth
Definition: sys_conf.vhd:45
string := "MMCM" sys_conf_clksys_gentype
integer := 4 sys_conf_ibd_dl11_0
Definition: sys_conf.vhd:29
integer := 7 sys_conf_cache_twidth
Definition: sys_conf.vhd:56
boolean := true sys_conf_ibtst
Definition: sys_conf.vhd:47
boolean := true sys_conf_ibd_deuna
Definition: sys_conf.vhd:34
integer := 115200 sys_conf_ser2rri_defbaud
Definition: sys_conf.vhd:34
positive := cram_read1delay( sys_conf_clksys_mhz ) sys_conf_memctl_read1delay
Definition: sys_conf.vhd:46
integer := 4 sys_conf_ibd_dl11_1
Definition: sys_conf.vhd:30
integer := 2 sys_conf_dmhbpt_nunit
Definition: sys_conf.vhd:50
boolean := true sys_conf_ibd_rhrp
Definition: sys_conf.vhd:39
boolean := true sys_conf_ibd_m9312
Definition: sys_conf.vhd:45
positive := cram_read0delay( sys_conf_clksys_mhz ) sys_conf_memctl_read0delay
Definition: sys_conf.vhd:44