w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_conf.vhd
Go to the documentation of this file.
1-- $Id: sys_conf.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2011-2019 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: sys_conf
7-- Description: Definitions for sys_w11a_n3 (for synthesis)
8--
9-- Dependencies: -
10-- Tool versions: xst 13.1-14.7; ghdl 0.29-0.35
11-- Revision History:
12-- Date Rev Version Comment
13-- 2019-04-28 1142 1.8.1 add sys_conf_ibd_m9312
14-- 2019-02-09 1110 1.8 use typ for DL,PC,LP; add dz11,ibtst
15-- 2019-01-27 1108 1.7.6 drop iist
16-- 2018-09-22 1050 1.7.5 add sys_conf_dmpcnt
17-- 2018-09-08 1043 1.7.4 add sys_conf_ibd_kw11p
18-- 2017-04-22 884 1.7.3 use sys_conf_dmcmon_awidth=8 (proper value)
19-- 2017-03-04 858 1.7.2 enable deuna
20-- 2017-01-29 847 1.7.1 add sys_conf_ibd_deuna
21-- 2016-07-16 788 1.7 use cram_*delay functions to determine delays
22-- 2016-07-10 786 1.6 memctl with page mode, new read1delay
23-- 2016-05-28 770 1.5.1 sys_conf_mem_losize now type natural
24-- 2016-03-22 750 1.5 add sys_conf_cache_twidth
25-- 2015-06-26 695 1.4.2 add sys_conf_(dmscnt|dmhbpt*|dmcmon*)
26-- 2015-06-21 692 1.4.1 use clksys=64 (no closure after rhrp fixes)
27-- 2015-03-14 658 1.4 add sys_conf_ibd_* definitions
28-- 2015-02-15 647 1.3 drop bram and minisys options
29-- 2014-12-26 621 1.2.2 use 68 MHz, get occasional problems with 72 MHz
30-- 2014-12-22 619 1.2.1 add _rbmon_awidth
31-- 2013-10-06 538 1.2 pll support, use clksys_vcodivide ect
32-- 2013-10-05 537 1.1.1 use 72 MHz, no closure w/ ISE 14.x for 80 anymore
33-- 2013-04-21 509 1.1 add fx2 settings
34-- 2011-11-26 433 1.0.1 use 80 MHz clksys (no closure for 85 after rev 432)
35-- 2011-11-20 430 1.0 Initial version (derived from _n2 version)
36------------------------------------------------------------------------------
37
38library ieee;
39use ieee.std_logic_1164.all;
40
41use work.slvtypes.all;
42use work.nxcramlib.all;
43
44package sys_conf is
45
46 -- configure clocks --------------------------------------------------------
47 constant sys_conf_clksys_vcodivide : positive := 25;
48 constant sys_conf_clksys_vcomultiply : positive := 16; -- dcm 64 MHz
49 constant sys_conf_clksys_outdivide : positive := 1; -- sys 64 MHz
50 constant sys_conf_clksys_gentype : string := "DCM";
51
52 -- configure rlink and hio interfaces --------------------------------------
53 constant sys_conf_ser2rri_defbaud : integer := 115200; -- default 115k baud
54 constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers
55
56 -- fx2 settings: petowidth=10 -> 2^10 30 MHz clocks -> ~33 usec
57 constant sys_conf_fx2_petowidth : positive := 10;
58 constant sys_conf_fx2_ccwidth : positive := 5;
59
60 -- configure memory controller ---------------------------------------------
61 -- now under derived constants
62
63 -- configure debug and monitoring units ------------------------------------
64 constant sys_conf_rbmon_awidth : integer := 9; -- use 0 to disable
65 constant sys_conf_ibmon_awidth : integer := 9; -- use 0 to disable
66 constant sys_conf_ibtst : boolean := true;
67 constant sys_conf_dmscnt : boolean := true;
68 constant sys_conf_dmpcnt : boolean := true;
69 constant sys_conf_dmhbpt_nunit : integer := 2; -- use 0 to disable
70 constant sys_conf_dmcmon_awidth : integer := 8; -- use 0 to disable
71
72 -- configure w11 cpu core --------------------------------------------------
73 constant sys_conf_mem_losize : natural := 8#167777#; -- 4 MByte
74
75 constant sys_conf_cache_fmiss : slbit := '0'; -- cache enabled
76 constant sys_conf_cache_twidth : integer := 9; -- 8kB cache
77
78 -- configure w11 system devices --------------------------------------------
79 -- configure character and communication devices
80 -- typ for DL,DZ,PC,LP: -1->none; 0->unbuffered; 4-7 buffered (typ=AWIDTH)
81 constant sys_conf_ibd_dl11_0 : integer := 4; -- 1st DL11
82 constant sys_conf_ibd_dl11_1 : integer := 4; -- 2nd DL11
83 constant sys_conf_ibd_dz11 : integer := 5; -- DZ11
84 constant sys_conf_ibd_pc11 : integer := 4; -- PC11
85 constant sys_conf_ibd_lp11 : integer := 5; -- LP11
86 constant sys_conf_ibd_deuna : boolean := true; -- DEUNA
87
88 -- configure mass storage devices
89 constant sys_conf_ibd_rk11 : boolean := true; -- RK11
90 constant sys_conf_ibd_rl11 : boolean := true; -- RL11
91 constant sys_conf_ibd_rhrp : boolean := true; -- RHRP
92 constant sys_conf_ibd_tm11 : boolean := true; -- TM11
93
94 -- configure other devices
95 constant sys_conf_ibd_iist : boolean := false; -- IIST
96 constant sys_conf_ibd_kw11p : boolean := true; -- KW11P
97 constant sys_conf_ibd_m9312 : boolean := true; -- M9312
98
99 -- derived constants =======================================================
100 constant sys_conf_clksys : integer :=
103 constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
104
105 constant sys_conf_ser2rri_cdinit : integer :=
107
108 constant sys_conf_memctl_read0delay : positive :=
109 cram_read0delay(sys_conf_clksys_mhz);
110 constant sys_conf_memctl_read1delay : positive :=
111 cram_read1delay(sys_conf_clksys_mhz);
112 constant sys_conf_memctl_writedelay : positive :=
113 cram_writedelay(sys_conf_clksys_mhz);
114
115end package sys_conf;
std_logic slbit
Definition: slvtypes.vhd:30
boolean := true sys_conf_dmpcnt
Definition: sys_conf.vhd:49
boolean := true sys_conf_ibd_kw11p
Definition: sys_conf.vhd:44
integer := 5 sys_conf_ibd_dz11
Definition: sys_conf.vhd:31
positive := 8 sys_conf_clksys_vcomultiply
integer :=(( 100000000/ sys_conf_clksys_vcodivide)* sys_conf_clksys_vcomultiply)/ sys_conf_clksys_outdivide sys_conf_clksys
slbit := '0' sys_conf_cache_fmiss
Definition: sys_conf.vhd:55
positive := 5 sys_conf_fx2_ccwidth
Definition: sys_conf.vhd:42
positive := 10 sys_conf_fx2_petowidth
Definition: sys_conf.vhd:40
integer :=( sys_conf_clkser/ sys_conf_ser2rri_defbaud)- 1 sys_conf_ser2rri_cdinit
Definition: sys_conf.vhd:48
integer := sys_conf_clksys/ 1000000 sys_conf_clksys_mhz
positive := 1 sys_conf_clksys_vcodivide
integer := 9 sys_conf_ibmon_awidth
Definition: sys_conf.vhd:46
boolean := true sys_conf_ibd_rl11
Definition: sys_conf.vhd:38
positive := cram_writedelay( sys_conf_clksys_mhz ) sys_conf_memctl_writedelay
Definition: sys_conf.vhd:48
boolean := true sys_conf_ibd_iist
Definition: sys_conf.vhd:43
integer := 4 sys_conf_ibd_pc11
Definition: sys_conf.vhd:32
integer := 5 sys_conf_ibd_lp11
Definition: sys_conf.vhd:33
boolean := true sys_conf_ibd_tm11
Definition: sys_conf.vhd:40
boolean := true sys_conf_hio_debounce
Definition: sys_conf.vhd:33
natural := 8#167777# sys_conf_mem_losize
Definition: sys_conf.vhd:54
positive := 10 sys_conf_clksys_outdivide
boolean := true sys_conf_ibd_rk11
Definition: sys_conf.vhd:37
integer := 8 sys_conf_dmcmon_awidth
Definition: sys_conf.vhd:51
boolean := false sys_conf_dmscnt
Definition: sys_conf.vhd:48
integer := 9 sys_conf_rbmon_awidth
Definition: sys_conf.vhd:45
string := "MMCM" sys_conf_clksys_gentype
integer := 4 sys_conf_ibd_dl11_0
Definition: sys_conf.vhd:29
integer := 7 sys_conf_cache_twidth
Definition: sys_conf.vhd:56
boolean := true sys_conf_ibtst
Definition: sys_conf.vhd:47
boolean := true sys_conf_ibd_deuna
Definition: sys_conf.vhd:34
integer := 115200 sys_conf_ser2rri_defbaud
Definition: sys_conf.vhd:34
positive := cram_read1delay( sys_conf_clksys_mhz ) sys_conf_memctl_read1delay
Definition: sys_conf.vhd:46
integer := 4 sys_conf_ibd_dl11_1
Definition: sys_conf.vhd:30
integer := 2 sys_conf_dmhbpt_nunit
Definition: sys_conf.vhd:50
boolean := true sys_conf_ibd_rhrp
Definition: sys_conf.vhd:39
boolean := true sys_conf_ibd_m9312
Definition: sys_conf.vhd:45
positive := cram_read0delay( sys_conf_clksys_mhz ) sys_conf_memctl_read0delay
Definition: sys_conf.vhd:44