w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_conf.vhd
Go to the documentation of this file.
1-- $Id: sys_conf.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2010-2019 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: sys_conf
7-- Description: Definitions for sys_w11a_n2 (for synthesis)
8--
9-- Dependencies: -
10-- Tool versions: xst 11.4-14.7; ghdl 0.26-0.35
11-- Revision History:
12-- Date Rev Version Comment
13-- 2019-04-28 1142 1.7.1 add sys_conf_ibd_m9312
14-- 2019-02-09 1110 1.7 use typ for DL,PC,LP; add dz11,ibtst
15-- 2019-01-27 1108 1.6.6 drop iist
16-- 2018-09-22 1050 1.6.5 add sys_conf_dmpcnt
17-- 2018-09-08 1043 1.6.4 add sys_conf_ibd_kw11p
18-- 2017-04-22 884 1.6.3 use sys_conf_dmcmon_awidth=8 (proper value)
19-- 2017-03-04 858 1.6.2 enable deuna
20-- 2017-01-29 847 1.6.1 add sys_conf_ibd_deuna
21-- 2016-07-16 788 1.6 use cram_*delay functions to determine delays
22-- 2016-05-28 770 1.5.1 sys_conf_mem_losize now type natural
23-- 2016-03-22 750 1.5 add sys_conf_cache_twidth
24-- 2015-06-26 695 1.4.2 add sys_conf_(dmscnt|dmhbpt*|dmcmon*)
25-- 2015-06-21 692 1.4.1 use clksys=52 (no closure after rhrp fixes)
26-- 2015-03-14 658 1.4 add sys_conf_ibd_* definitions
27-- 2015-02-15 647 1.3 drop bram and minisys options
28-- 2015-01-04 630 1.2.2 use clksys=54 (no closure after rlink r4 + RL11)
29-- 2014-12-22 619 1.2.1 add _rbmon_awidth
30-- 2013-04-21 509 1.2 add fx2 settings
31-- 2011-11-19 428 1.1.1 use clksys=56 (58 no closure after numeric_std...)
32-- 2010-11-27 341 1.1 add dcm and memctl related constants (clksys=58)
33-- 2010-05-05 295 1.0 Initial version (derived from _s3 version)
34------------------------------------------------------------------------------
35
36library ieee;
37use ieee.std_logic_1164.all;
38
39use work.slvtypes.all;
40use work.nxcramlib.all;
41
42package sys_conf is
43
44 -- configure clocks --------------------------------------------------------
45 constant sys_conf_clkfx_divide : positive := 25;
46 constant sys_conf_clkfx_multiply : positive := 26; -- ==> 52 MHz
47
48 -- configure rlink and hio interfaces --------------------------------------
49 constant sys_conf_ser2rri_defbaud : integer := 115200; -- default 115k baud
50 constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers
51
52 -- fx2 settings: petowidth=10 -> 2^10 30 MHz clocks -> ~33 usec
53 constant sys_conf_fx2_petowidth : positive := 10;
54 constant sys_conf_fx2_ccwidth : positive := 5;
55
56 -- configure debug and monitoring units ------------------------------------
57 constant sys_conf_rbmon_awidth : integer := 9; -- use 0 to disable
58 constant sys_conf_ibmon_awidth : integer := 9; -- use 0 to disable
59 constant sys_conf_ibtst : boolean := true;
60 constant sys_conf_dmscnt : boolean := true;
61 constant sys_conf_dmpcnt : boolean := true;
62 constant sys_conf_dmhbpt_nunit : integer := 2; -- use 0 to disable
63 constant sys_conf_dmcmon_awidth : integer := 8; -- use 0 to disable
64
65 -- configure memory controller ---------------------------------------------
66 -- now under derived constants
67
68 -- configure w11 cpu core --------------------------------------------------
69 constant sys_conf_mem_losize : natural := 8#167777#; -- 4 MByte
70
71 constant sys_conf_cache_fmiss : slbit := '0'; -- cache enabled
72 constant sys_conf_cache_twidth : integer := 9; -- 8kB cache
73
74 -- configure w11 system devices --------------------------------------------
75 -- configure character and communication devices
76 -- typ for DL,DZ,PC,LP: -1->none; 0->unbuffered; 4-7 buffered (typ=AWIDTH)
77 constant sys_conf_ibd_dl11_0 : integer := 4; -- 1st DL11
78 constant sys_conf_ibd_dl11_1 : integer := 4; -- 2nd DL11
79 constant sys_conf_ibd_dz11 : integer := 5; -- DZ11
80 constant sys_conf_ibd_pc11 : integer := 4; -- PC11
81 constant sys_conf_ibd_lp11 : integer := 5; -- LP11
82 constant sys_conf_ibd_deuna : boolean := true; -- DEUNA
83
84 -- configure mass storage devices
85 constant sys_conf_ibd_rk11 : boolean := true; -- RK11
86 constant sys_conf_ibd_rl11 : boolean := true; -- RL11
87 constant sys_conf_ibd_rhrp : boolean := true; -- RHRP
88 constant sys_conf_ibd_tm11 : boolean := true; -- TM11
89
90 -- configure other devices
91 constant sys_conf_ibd_iist : boolean := false; -- IIST
92 constant sys_conf_ibd_kw11p : boolean := true; -- KW11P
93 constant sys_conf_ibd_m9312 : boolean := true; -- M9312
94
95 -- derived constants =======================================================
96 constant sys_conf_clksys : integer :=
98 constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
99
100 constant sys_conf_ser2rri_cdinit : integer :=
102
103 constant sys_conf_memctl_read0delay : positive :=
104 cram_read0delay(sys_conf_clksys_mhz);
105 constant sys_conf_memctl_read1delay : positive :=
106 cram_read1delay(sys_conf_clksys_mhz);
107 constant sys_conf_memctl_writedelay : positive :=
108 cram_writedelay(sys_conf_clksys_mhz);
109
110end package sys_conf;
std_logic slbit
Definition: slvtypes.vhd:30
boolean := true sys_conf_dmpcnt
Definition: sys_conf.vhd:49
boolean := true sys_conf_ibd_kw11p
Definition: sys_conf.vhd:44
integer := 5 sys_conf_ibd_dz11
Definition: sys_conf.vhd:31
integer :=(( 100000000/ sys_conf_clksys_vcodivide)* sys_conf_clksys_vcomultiply)/ sys_conf_clksys_outdivide sys_conf_clksys
slbit := '0' sys_conf_cache_fmiss
Definition: sys_conf.vhd:55
positive := 5 sys_conf_fx2_ccwidth
Definition: sys_conf.vhd:42
positive := 10 sys_conf_fx2_petowidth
Definition: sys_conf.vhd:40
positive := 2 sys_conf_clkfx_divide
integer :=( sys_conf_clkser/ sys_conf_ser2rri_defbaud)- 1 sys_conf_ser2rri_cdinit
Definition: sys_conf.vhd:48
integer := sys_conf_clksys/ 1000000 sys_conf_clksys_mhz
integer := 9 sys_conf_ibmon_awidth
Definition: sys_conf.vhd:46
boolean := true sys_conf_ibd_rl11
Definition: sys_conf.vhd:38
positive := cram_writedelay( sys_conf_clksys_mhz ) sys_conf_memctl_writedelay
Definition: sys_conf.vhd:48
boolean := true sys_conf_ibd_iist
Definition: sys_conf.vhd:43
integer := 4 sys_conf_ibd_pc11
Definition: sys_conf.vhd:32
integer := 5 sys_conf_ibd_lp11
Definition: sys_conf.vhd:33
boolean := true sys_conf_ibd_tm11
Definition: sys_conf.vhd:40
boolean := true sys_conf_hio_debounce
Definition: sys_conf.vhd:33
natural := 8#167777# sys_conf_mem_losize
Definition: sys_conf.vhd:54
boolean := true sys_conf_ibd_rk11
Definition: sys_conf.vhd:37
integer := 8 sys_conf_dmcmon_awidth
Definition: sys_conf.vhd:51
boolean := false sys_conf_dmscnt
Definition: sys_conf.vhd:48
integer := 9 sys_conf_rbmon_awidth
Definition: sys_conf.vhd:45
integer := 4 sys_conf_ibd_dl11_0
Definition: sys_conf.vhd:29
integer := 7 sys_conf_cache_twidth
Definition: sys_conf.vhd:56
boolean := true sys_conf_ibtst
Definition: sys_conf.vhd:47
positive := 3 sys_conf_clkfx_multiply
boolean := true sys_conf_ibd_deuna
Definition: sys_conf.vhd:34
integer := 115200 sys_conf_ser2rri_defbaud
Definition: sys_conf.vhd:34
positive := cram_read1delay( sys_conf_clksys_mhz ) sys_conf_memctl_read1delay
Definition: sys_conf.vhd:46
integer := 4 sys_conf_ibd_dl11_1
Definition: sys_conf.vhd:30
integer := 2 sys_conf_dmhbpt_nunit
Definition: sys_conf.vhd:50
boolean := true sys_conf_ibd_rhrp
Definition: sys_conf.vhd:39
boolean := true sys_conf_ibd_m9312
Definition: sys_conf.vhd:45
positive := cram_read0delay( sys_conf_clksys_mhz ) sys_conf_memctl_read0delay
Definition: sys_conf.vhd:44