w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_conf_sim.vhd
Go to the documentation of this file.
1-- $Id: sys_conf_sim.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2016-2019 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: sys_conf
7-- Description: Definitions for sys_w11a_br_arty (for simulation)
8--
9-- Dependencies: -
10-- Tool versions: viv 2015.4-2018.3; ghdl 0.33-0.35
11-- Revision History:
12-- Date Rev Version Comment
13-- 2019-04-28 1142 1.4.1 add sys_conf_ibd_m9312
14-- 2019-02-09 1110 1.4 use typ for DL,PC,LP; add dz11,ibtst
15-- 2018-09-22 1050 1.3.6 add sys_conf_dmpcnt
16-- 2018-09-08 1043 1.3.5 add sys_conf_ibd_kw11p
17-- 2017-01-29 847 1.3.4 add sys_conf_ibd_deuna
18-- 2016-06-18 775 1.3.3 use PLL for clkser_gentype
19-- 2016-05-28 770 1.3.2 sys_conf_mem_losize now type natural
20-- 2016-05-26 768 1.3.1 set dmscnt=0 (vivado fsm issue)
21-- 2016-03-28 755 1.3 use serport_2clock2 -> define clkser
22-- 2016-03-22 750 1.2 add sys_conf_cache_twidth
23-- 2016-03-13 742 1.1 add sysmon_bus
24-- 2016-02-27 736 1.0 Initial version
25------------------------------------------------------------------------------
26
27library ieee;
28use ieee.std_logic_1164.all;
29
30use work.slvtypes.all;
31
32package sys_conf is
33
34 -- configure clocks --------------------------------------------------------
35 constant sys_conf_clksys_vcodivide : positive := 1;
36 constant sys_conf_clksys_vcomultiply : positive := 8; -- vco 800 MHz
37 constant sys_conf_clksys_outdivide : positive := 10; -- sys 80 MHz
38 constant sys_conf_clksys_gentype : string := "MMCM";
39 -- dual clock design, clkser = 120 MHz
40 constant sys_conf_clkser_vcodivide : positive := 1;
41 constant sys_conf_clkser_vcomultiply : positive := 12; -- vco 1200 MHz
42 constant sys_conf_clkser_outdivide : positive := 10; -- sys 120 MHz
43 constant sys_conf_clkser_gentype : string := "PLL";
44
45 -- configure rlink and hio interfaces --------------------------------------
46 constant sys_conf_ser2rri_cdinit : integer := 1-1; -- 1 cycle/bit in sim
47 constant sys_conf_hio_debounce : boolean := false; -- no debouncers
48
49 -- configure memory controller ---------------------------------------------
50 constant sys_conf_memctl_mawidth : positive := 4;
51 constant sys_conf_memctl_nblock : positive := 11;
52
53 -- configure debug and monitoring units ------------------------------------
54 constant sys_conf_rbmon_awidth : integer := 0; -- no rbmon to save BRAMs
55 constant sys_conf_ibmon_awidth : integer := 0; -- no ibmon to save BRAMs
56 constant sys_conf_ibtst : boolean := true;
57 constant sys_conf_dmscnt : boolean := false;
58 constant sys_conf_dmpcnt : boolean := true;
59 constant sys_conf_dmhbpt_nunit : integer := 2; -- use 0 to disable
60 constant sys_conf_dmcmon_awidth : integer := 0; -- no dmcmon to save BRAMs
61 constant sys_conf_rbd_sysmon : boolean := true; -- SYSMON(XADC)
62
63 -- configure w11 cpu core --------------------------------------------------
64 -- sys_conf_mem_losize is highest 64 byte MMU block number
65 -- the bram_memcnt uses 4*4kB memory blocks => 1 MEM block = 256 MMU blocks
66 constant sys_conf_mem_losize : natural := 256*sys_conf_memctl_nblock-1;
67
68 constant sys_conf_cache_fmiss : slbit := '0'; -- cache enabled
69 constant sys_conf_cache_twidth : integer := 9; -- 8kB cache
70
71 -- configure w11 system devices --------------------------------------------
72 -- configure character and communication devices
73 -- typ for DL,DZ,PC,LP: -1->none; 0->unbuffered; 4-7 buffered (typ=AWIDTH)
74 constant sys_conf_ibd_dl11_0 : integer := 6; -- 1st DL11
75 constant sys_conf_ibd_dl11_1 : integer := 6; -- 2nd DL11
76 constant sys_conf_ibd_dz11 : integer := 6; -- DZ11
77 constant sys_conf_ibd_pc11 : integer := 6; -- PC11
78 constant sys_conf_ibd_lp11 : integer := 7; -- LP11
79 constant sys_conf_ibd_deuna : boolean := true; -- DEUNA
80
81 -- configure mass storage devices
82 constant sys_conf_ibd_rk11 : boolean := true; -- RK11
83 constant sys_conf_ibd_rl11 : boolean := true; -- RL11
84 constant sys_conf_ibd_rhrp : boolean := true; -- RHRP
85 constant sys_conf_ibd_tm11 : boolean := true; -- TM11
86
87 -- configure other devices
88 constant sys_conf_ibd_iist : boolean := true; -- IIST
89 constant sys_conf_ibd_kw11p : boolean := true; -- KW11P
90 constant sys_conf_ibd_m9312 : boolean := true; -- M9312
91
92 -- derived constants =======================================================
93 constant sys_conf_clksys : integer :=
96 constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
97
98 constant sys_conf_clkser : integer :=
101 constant sys_conf_clkser_mhz : integer := sys_conf_clkser/1000000;
102
103end package sys_conf;
std_logic slbit
Definition: slvtypes.vhd:30
boolean := true sys_conf_dmpcnt
Definition: sys_conf.vhd:49
boolean := true sys_conf_ibd_kw11p
Definition: sys_conf.vhd:44
integer := 5 sys_conf_ibd_dz11
Definition: sys_conf.vhd:31
positive := 8 sys_conf_clksys_vcomultiply
positive := 10 sys_conf_clkser_outdivide
integer :=(( 100000000/ sys_conf_clksys_vcodivide)* sys_conf_clksys_vcomultiply)/ sys_conf_clksys_outdivide sys_conf_clksys
slbit := '0' sys_conf_cache_fmiss
Definition: sys_conf.vhd:55
integer := sys_conf_clkser/ 1000000 sys_conf_clkser_mhz
integer :=( sys_conf_clkser/ sys_conf_ser2rri_defbaud)- 1 sys_conf_ser2rri_cdinit
Definition: sys_conf.vhd:48
integer := sys_conf_clksys/ 1000000 sys_conf_clksys_mhz
positive := 1 sys_conf_clksys_vcodivide
integer := 9 sys_conf_ibmon_awidth
Definition: sys_conf.vhd:46
boolean := true sys_conf_ibd_rl11
Definition: sys_conf.vhd:38
boolean := true sys_conf_rbd_sysmon
Definition: sys_conf.vhd:36
positive := 12 sys_conf_clkser_vcomultiply
boolean := true sys_conf_ibd_iist
Definition: sys_conf.vhd:43
integer := 4 sys_conf_ibd_pc11
Definition: sys_conf.vhd:32
positive := 1 sys_conf_clkser_vcodivide
integer := 5 sys_conf_ibd_lp11
Definition: sys_conf.vhd:33
string := "MMCM" sys_conf_clkser_gentype
positive := 11 sys_conf_memctl_nblock
Definition: sys_conf.vhd:52
integer :=(( 100000000/ sys_conf_clkser_vcodivide)* sys_conf_clkser_vcomultiply)/ sys_conf_clkser_outdivide sys_conf_clkser
boolean := true sys_conf_ibd_tm11
Definition: sys_conf.vhd:40
boolean := true sys_conf_hio_debounce
Definition: sys_conf.vhd:33
natural := 8#167777# sys_conf_mem_losize
Definition: sys_conf.vhd:54
positive := 10 sys_conf_clksys_outdivide
boolean := true sys_conf_ibd_rk11
Definition: sys_conf.vhd:37
integer := 8 sys_conf_dmcmon_awidth
Definition: sys_conf.vhd:51
boolean := false sys_conf_dmscnt
Definition: sys_conf.vhd:48
integer := 9 sys_conf_rbmon_awidth
Definition: sys_conf.vhd:45
string := "MMCM" sys_conf_clksys_gentype
integer := 4 sys_conf_ibd_dl11_0
Definition: sys_conf.vhd:29
integer := 7 sys_conf_cache_twidth
Definition: sys_conf.vhd:56
boolean := true sys_conf_ibtst
Definition: sys_conf.vhd:47
positive := 4 sys_conf_memctl_mawidth
Definition: sys_conf.vhd:51
boolean := true sys_conf_ibd_deuna
Definition: sys_conf.vhd:34
integer := 4 sys_conf_ibd_dl11_1
Definition: sys_conf.vhd:30
integer := 2 sys_conf_dmhbpt_nunit
Definition: sys_conf.vhd:50
boolean := true sys_conf_ibd_rhrp
Definition: sys_conf.vhd:39
boolean := true sys_conf_ibd_m9312
Definition: sys_conf.vhd:45