w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_conf_sim.vhd
Go to the documentation of this file.
1-- $Id: sys_conf_sim.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2018-2019 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: sys_conf
7-- Description: Definitions for sys_w11a_arty (for simulation)
8--
9-- Dependencies: -
10-- Tool versions: viv 2017.2-2018.3; ghdl 0.34-0.35
11-- Revision History:
12-- Date Rev Version Comment
13-- 2019-04-28 1142 1.1.1 add sys_conf_ibd_m9312
14-- 2019-02-09 1110 1.1 use typ for DL,PC,LP; add dz11,ibtst
15-- 2019-01-27 1108 1.0.1 down-rate to 75 MHz, viv 2018.3 fails with 80 MHz
16-- 2018-11-17 1071 1.0 Initial version (derived from _br_arty version)
17------------------------------------------------------------------------------
18
19library ieee;
20use ieee.std_logic_1164.all;
21
22use work.slvtypes.all;
23
24package sys_conf is
25
26 -- configure clocks --------------------------------------------------------
27 constant sys_conf_clksys_vcodivide : positive := 1;
28 constant sys_conf_clksys_vcomultiply : positive := 9; -- vco 900 MHz
29 constant sys_conf_clksys_outdivide : positive := 12; -- sys 75 MHz
30 constant sys_conf_clksys_gentype : string := "MMCM";
31 -- dual clock design, clkser = 120 MHz
32 constant sys_conf_clkser_vcodivide : positive := 1;
33 constant sys_conf_clkser_vcomultiply : positive := 12; -- vco 1200 MHz
34 constant sys_conf_clkser_outdivide : positive := 10; -- sys 120 MHz
35 constant sys_conf_clkser_gentype : string := "PLL";
36
37 -- configure rlink and hio interfaces --------------------------------------
38 constant sys_conf_ser2rri_cdinit : integer := 1-1; -- 1 cycle/bit in sim
39 constant sys_conf_hio_debounce : boolean := false; -- no debouncers
40
41 -- configure memory controller ---------------------------------------------
42
43 -- configure debug and monitoring units ------------------------------------
44 constant sys_conf_rbmon_awidth : integer := 9; -- use 0 to disable
45 constant sys_conf_ibmon_awidth : integer := 9; -- use 0 to disable
46 constant sys_conf_ibtst : boolean := true;
47 constant sys_conf_dmscnt : boolean := false;
48 constant sys_conf_dmpcnt : boolean := true;
49 constant sys_conf_dmhbpt_nunit : integer := 2; -- use 0 to disable
50 constant sys_conf_dmcmon_awidth : integer := 8; -- use 0 to disable, 8 to use
51
52 -- configure w11 cpu core --------------------------------------------------
53 constant sys_conf_mem_losize : natural := 8#167777#; -- 4 MByte
54 constant sys_conf_cache_fmiss : slbit := '0'; -- cache enabled
55 constant sys_conf_cache_twidth : integer := 7; -- 32kB cache
56
57 -- configure w11 system devices --------------------------------------------
58 -- configure character and communication devices
59 -- typ for DL,DZ,PC,LP: -1->none; 0->unbuffered; 4-7 buffered (typ=AWIDTH)
60 constant sys_conf_ibd_dl11_0 : integer := 6; -- 1st DL11
61 constant sys_conf_ibd_dl11_1 : integer := 6; -- 2nd DL11
62 constant sys_conf_ibd_dz11 : integer := 6; -- DZ11
63 constant sys_conf_ibd_pc11 : integer := 6; -- PC11
64 constant sys_conf_ibd_lp11 : integer := 7; -- LP11
65 constant sys_conf_ibd_deuna : boolean := true; -- DEUNA
66
67 -- configure mass storage devices
68 constant sys_conf_ibd_rk11 : boolean := true; -- RK11
69 constant sys_conf_ibd_rl11 : boolean := true; -- RL11
70 constant sys_conf_ibd_rhrp : boolean := true; -- RHRP
71 constant sys_conf_ibd_tm11 : boolean := true; -- TM11
72
73 -- configure other devices
74 constant sys_conf_ibd_iist : boolean := true; -- IIST
75 constant sys_conf_ibd_kw11p : boolean := true; -- KW11P
76 constant sys_conf_ibd_m9312 : boolean := true; -- M9312
77
78 -- derived constants =======================================================
79 constant sys_conf_clksys : integer :=
82 constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
83
84 constant sys_conf_clkser : integer :=
87 constant sys_conf_clkser_mhz : integer := sys_conf_clkser/1000000;
88
89end package sys_conf;
std_logic slbit
Definition: slvtypes.vhd:30
boolean := true sys_conf_dmpcnt
Definition: sys_conf.vhd:49
boolean := true sys_conf_ibd_kw11p
Definition: sys_conf.vhd:44
integer := 5 sys_conf_ibd_dz11
Definition: sys_conf.vhd:31
positive := 8 sys_conf_clksys_vcomultiply
positive := 10 sys_conf_clkser_outdivide
integer :=(( 100000000/ sys_conf_clksys_vcodivide)* sys_conf_clksys_vcomultiply)/ sys_conf_clksys_outdivide sys_conf_clksys
slbit := '0' sys_conf_cache_fmiss
Definition: sys_conf.vhd:55
integer := sys_conf_clkser/ 1000000 sys_conf_clkser_mhz
integer :=( sys_conf_clkser/ sys_conf_ser2rri_defbaud)- 1 sys_conf_ser2rri_cdinit
Definition: sys_conf.vhd:48
integer := sys_conf_clksys/ 1000000 sys_conf_clksys_mhz
positive := 1 sys_conf_clksys_vcodivide
integer := 9 sys_conf_ibmon_awidth
Definition: sys_conf.vhd:46
boolean := true sys_conf_ibd_rl11
Definition: sys_conf.vhd:38
positive := 12 sys_conf_clkser_vcomultiply
boolean := true sys_conf_ibd_iist
Definition: sys_conf.vhd:43
integer := 4 sys_conf_ibd_pc11
Definition: sys_conf.vhd:32
positive := 1 sys_conf_clkser_vcodivide
integer := 5 sys_conf_ibd_lp11
Definition: sys_conf.vhd:33
string := "MMCM" sys_conf_clkser_gentype
integer :=(( 100000000/ sys_conf_clkser_vcodivide)* sys_conf_clkser_vcomultiply)/ sys_conf_clkser_outdivide sys_conf_clkser
boolean := true sys_conf_ibd_tm11
Definition: sys_conf.vhd:40
boolean := true sys_conf_hio_debounce
Definition: sys_conf.vhd:33
natural := 8#167777# sys_conf_mem_losize
Definition: sys_conf.vhd:54
positive := 10 sys_conf_clksys_outdivide
boolean := true sys_conf_ibd_rk11
Definition: sys_conf.vhd:37
integer := 8 sys_conf_dmcmon_awidth
Definition: sys_conf.vhd:51
boolean := false sys_conf_dmscnt
Definition: sys_conf.vhd:48
integer := 9 sys_conf_rbmon_awidth
Definition: sys_conf.vhd:45
string := "MMCM" sys_conf_clksys_gentype
integer := 4 sys_conf_ibd_dl11_0
Definition: sys_conf.vhd:29
integer := 7 sys_conf_cache_twidth
Definition: sys_conf.vhd:56
boolean := true sys_conf_ibtst
Definition: sys_conf.vhd:47
boolean := true sys_conf_ibd_deuna
Definition: sys_conf.vhd:34
integer := 4 sys_conf_ibd_dl11_1
Definition: sys_conf.vhd:30
integer := 2 sys_conf_dmhbpt_nunit
Definition: sys_conf.vhd:50
boolean := true sys_conf_ibd_rhrp
Definition: sys_conf.vhd:39
boolean := true sys_conf_ibd_m9312
Definition: sys_conf.vhd:45