w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_conf.vhd
Go to the documentation of this file.
1-- $Id: sys_conf.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: sys_conf
7-- Description: Definitions for sys_tst_snhumanio_n2 (for synthesis)
8--
9-- Dependencies: -
10-- Tool versions: xst 13.1-14.7; ghdl 0.29-0.31
11-- Revision History:
12-- Date Rev Version Comment
13-- 2011-09-17 410 1.0 Initial version
14------------------------------------------------------------------------------
15
16library ieee;
17use ieee.std_logic_1164.all;
18
19use work.slvtypes.all;
20
21package sys_conf is
22
23 constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers
24
25end package sys_conf;
26
boolean := true sys_conf_hio_debounce
Definition: sys_conf.vhd:33