w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_conf.vhd
Go to the documentation of this file.
1-- $Id: sys_conf.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: sys_conf
7-- Description: Definitions for sys_tst_serloop_s3 (for synthesis)
8--
9-- Dependencies: -
10-- Tool versions: xst 13.1-14.7; ghdl 0.29-0.31
11-- Revision History:
12-- Date Rev Version Comment
13-- 2011-11-13 424 1.0 Initial version
14-- 2011-10-25 419 0.5 First draft
15------------------------------------------------------------------------------
16
17library ieee;
18use ieee.std_logic_1164.all;
19
20use work.slvtypes.all;
21
22package sys_conf is
23
24 constant sys_conf_clkdiv_usecdiv : integer := 60; -- default usec
25 constant sys_conf_clkdiv_msecdiv : integer := 1000; -- default msec
26 constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers
27 constant sys_conf_uart_cdinit : integer := 521-1; -- 60000000/115200
28
29end package sys_conf;
integer := 100 sys_conf_clkdiv_usecdiv
Definition: sys_conf1.vhd:29
boolean := true sys_conf_hio_debounce
Definition: sys_conf.vhd:33
integer := 1000 sys_conf_clkdiv_msecdiv
Definition: sys_conf1.vhd:30
integer :=( sys_conf_clksys/ sys_conf_uart_defbaud)- 1 sys_conf_uart_cdinit
Definition: sys_conf1.vhd:44