w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
syn Architecture Reference
Architecture >> syn

Signals

CE_USEC  slbit := ' 0 '
EI_PRI  slv3 := ( others = > ' 0 ' )
EI_VECT  slv9_2 := ( others = > ' 0 ' )
EI_ACKM  slbit := ' 0 '
CP_CNTL  cp_cntl_type := cp_cntl_init
CP_ADDR  cp_addr_type := cp_addr_init
CP_STAT  cp_stat_type := cp_stat_init
EM_MREQ  em_mreq_type := em_mreq_init
EM_SRES  em_sres_type := em_sres_init
BRESET  slbit := ' 0 '
IB_MREQ_M  ib_mreq_type := ib_mreq_init
IB_SRES_M  ib_sres_type := ib_sres_init
DM_STAT_DP  dm_stat_dp_type := dm_stat_dp_init
DM_STAT_SE  dm_stat_se_type := dm_stat_se_init
DM_STAT_VM  dm_stat_vm_type := dm_stat_vm_init
DM_STAT_CO  dm_stat_co_type := dm_stat_co_init
DM_STAT_CA  dm_stat_ca_type := dm_stat_ca_init

Instantiations

clkdiv  clkdivce <Entity clkdivce>
pdp11  pdp11_core <Entity pdp11_core>
mem  pdp11_bram <Entity pdp11_bram>
ibdr_sys  ibdr_minisys <Entity ibdr_minisys>
tmu  pdp11_tmu_sb <Entity pdp11_tmu_sb>

Detailed Description

Definition at line 102 of file tbd_pdp11core.vhd.

Member Data Documentation

◆ CE_USEC

CE_USEC slbit := ' 0 '
Signal

Definition at line 104 of file tbd_pdp11core.vhd.

◆ EI_PRI

EI_PRI slv3 := ( others = > ' 0 ' )
Signal

Definition at line 106 of file tbd_pdp11core.vhd.

◆ EI_VECT

EI_VECT slv9_2 := ( others = > ' 0 ' )
Signal

Definition at line 107 of file tbd_pdp11core.vhd.

◆ EI_ACKM

EI_ACKM slbit := ' 0 '
Signal

Definition at line 108 of file tbd_pdp11core.vhd.

◆ CP_CNTL

CP_CNTL cp_cntl_type := cp_cntl_init
Signal

Definition at line 110 of file tbd_pdp11core.vhd.

◆ CP_ADDR

CP_ADDR cp_addr_type := cp_addr_init
Signal

Definition at line 111 of file tbd_pdp11core.vhd.

◆ CP_STAT

CP_STAT cp_stat_type := cp_stat_init
Signal

Definition at line 112 of file tbd_pdp11core.vhd.

◆ EM_MREQ

EM_MREQ em_mreq_type := em_mreq_init
Signal

Definition at line 114 of file tbd_pdp11core.vhd.

◆ EM_SRES

EM_SRES em_sres_type := em_sres_init
Signal

Definition at line 115 of file tbd_pdp11core.vhd.

◆ BRESET

BRESET slbit := ' 0 '
Signal

Definition at line 117 of file tbd_pdp11core.vhd.

◆ IB_MREQ_M

IB_MREQ_M ib_mreq_type := ib_mreq_init
Signal

Definition at line 118 of file tbd_pdp11core.vhd.

◆ IB_SRES_M

IB_SRES_M ib_sres_type := ib_sres_init
Signal

Definition at line 119 of file tbd_pdp11core.vhd.

◆ DM_STAT_DP

DM_STAT_DP dm_stat_dp_type := dm_stat_dp_init
Signal

Definition at line 121 of file tbd_pdp11core.vhd.

◆ DM_STAT_SE

DM_STAT_SE dm_stat_se_type := dm_stat_se_init
Signal

Definition at line 122 of file tbd_pdp11core.vhd.

◆ DM_STAT_VM

DM_STAT_VM dm_stat_vm_type := dm_stat_vm_init
Signal

Definition at line 123 of file tbd_pdp11core.vhd.

◆ DM_STAT_CO

DM_STAT_CO dm_stat_co_type := dm_stat_co_init
Signal

Definition at line 124 of file tbd_pdp11core.vhd.

◆ DM_STAT_CA

DM_STAT_CA dm_stat_ca_type := dm_stat_ca_init
Signal

Definition at line 125 of file tbd_pdp11core.vhd.

◆ clkdiv

clkdiv clkdivce
Instantiation

Definition at line 160 of file tbd_pdp11core.vhd.

◆ pdp11

pdp11 pdp11_core
Instantiation

Definition at line 186 of file tbd_pdp11core.vhd.

◆ mem

mem pdp11_bram
Instantiation

Definition at line 196 of file tbd_pdp11core.vhd.

◆ ibdr_sys

ibdr_sys ibdr_minisys
Instantiation

Definition at line 212 of file tbd_pdp11core.vhd.

◆ tmu

tmu pdp11_tmu_sb
Instantiation

Definition at line 227 of file tbd_pdp11core.vhd.


The documentation for this design unit was generated from the following file: