w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_tst_serloop1_n4 Entity Reference
Inheritance diagram for sys_tst_serloop1_n4:
[legend]
Collaboration diagram for sys_tst_serloop1_n4:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
xlib  Package <xlib>
genlib  Package <genlib>
bpgenlib  Package <bpgenlib>
tst_serlooplib  Package <tst_serlooplib>
serportlib  Package <serportlib>
sys_conf  Package <sys_conf>

Ports

I_CLK100   in   slbit
I_RXD   in   slbit
O_TXD   out   slbit
O_RTS_N   out   slbit
I_CTS_N   in   slbit
I_SWI   in   slv16
I_BTN   in   slv5
I_BTNRST_N   in   slbit
O_LED   out   slv16
O_RGBLED0   out   slv3
O_RGBLED1   out   slv3
O_ANO_N   out   slv8
O_SEG_N   out   slv8

Detailed Description

Definition at line 51 of file sys_tst_serloop1_n4.vhd.

Member Data Documentation

◆ I_CLK100

I_CLK100 in slbit
Port

Definition at line 54 of file sys_tst_serloop1_n4.vhd.

◆ I_RXD

I_RXD in slbit
Port

Definition at line 55 of file sys_tst_serloop1_n4.vhd.

◆ O_TXD

O_TXD out slbit
Port

Definition at line 56 of file sys_tst_serloop1_n4.vhd.

◆ O_RTS_N

O_RTS_N out slbit
Port

Definition at line 57 of file sys_tst_serloop1_n4.vhd.

◆ I_CTS_N

I_CTS_N in slbit
Port

Definition at line 58 of file sys_tst_serloop1_n4.vhd.

◆ I_SWI

I_SWI in slv16
Port

Definition at line 59 of file sys_tst_serloop1_n4.vhd.

◆ I_BTN

I_BTN in slv5
Port

Definition at line 60 of file sys_tst_serloop1_n4.vhd.

◆ I_BTNRST_N

I_BTNRST_N in slbit
Port

Definition at line 61 of file sys_tst_serloop1_n4.vhd.

◆ O_LED

O_LED out slv16
Port

Definition at line 62 of file sys_tst_serloop1_n4.vhd.

◆ O_RGBLED0

O_RGBLED0 out slv3
Port

Definition at line 63 of file sys_tst_serloop1_n4.vhd.

◆ O_RGBLED1

O_RGBLED1 out slv3
Port

Definition at line 64 of file sys_tst_serloop1_n4.vhd.

◆ O_ANO_N

O_ANO_N out slv8
Port

Definition at line 65 of file sys_tst_serloop1_n4.vhd.

◆ O_SEG_N

O_SEG_N out slv8
Port

Definition at line 67 of file sys_tst_serloop1_n4.vhd.

◆ ieee

ieee
Library

Definition at line 37 of file sys_tst_serloop1_n4.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 38 of file sys_tst_serloop1_n4.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 39 of file sys_tst_serloop1_n4.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 41 of file sys_tst_serloop1_n4.vhd.

◆ xlib

xlib
use clause

Definition at line 42 of file sys_tst_serloop1_n4.vhd.

◆ genlib

genlib
use clause

Definition at line 43 of file sys_tst_serloop1_n4.vhd.

◆ bpgenlib

bpgenlib
use clause

Definition at line 44 of file sys_tst_serloop1_n4.vhd.

◆ tst_serlooplib

tst_serlooplib
use clause

Definition at line 45 of file sys_tst_serloop1_n4.vhd.

◆ serportlib

serportlib
use clause

Definition at line 46 of file sys_tst_serloop1_n4.vhd.

◆ sys_conf

sys_conf
use clause

Definition at line 47 of file sys_tst_serloop1_n4.vhd.


The documentation for this design unit was generated from the following file: