w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
nexys4_cram_dummy Entity Reference
Inheritance diagram for nexys4_cram_dummy:
[legend]
Collaboration diagram for nexys4_cram_dummy:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>
nxcramlib  Package <nxcramlib>

Ports

I_CLK100   in   slbit
I_RXD   in   slbit
O_TXD   out   slbit
O_RTS_N   out   slbit
I_CTS_N   in   slbit
I_SWI   in   slv16
I_BTN   in   slv5
I_BTNRST_N   in   slbit
O_LED   out   slv16
O_RGBLED0   out   slv3
O_RGBLED1   out   slv3
O_ANO_N   out   slv8
O_SEG_N   out   slv8
O_MEM_CE_N   out   slbit
O_MEM_BE_N   out   slv2
O_MEM_WE_N   out   slbit
O_MEM_OE_N   out   slbit
O_MEM_ADV_N   out   slbit
O_MEM_CLK   out   slbit
O_MEM_CRE   out   slbit
I_MEM_WAIT   in   slbit
O_MEM_ADDR   out   slv23
IO_MEM_DATA   inout   slv16

Detailed Description

Definition at line 26 of file nexys4_cram_dummy.vhd.

Member Data Documentation

◆ I_CLK100

I_CLK100 in slbit
Port

Definition at line 29 of file nexys4_cram_dummy.vhd.

◆ I_RXD

I_RXD in slbit
Port

Definition at line 30 of file nexys4_cram_dummy.vhd.

◆ O_TXD

O_TXD out slbit
Port

Definition at line 31 of file nexys4_cram_dummy.vhd.

◆ O_RTS_N

O_RTS_N out slbit
Port

Definition at line 32 of file nexys4_cram_dummy.vhd.

◆ I_CTS_N

I_CTS_N in slbit
Port

Definition at line 33 of file nexys4_cram_dummy.vhd.

◆ I_SWI

I_SWI in slv16
Port

Definition at line 34 of file nexys4_cram_dummy.vhd.

◆ I_BTN

I_BTN in slv5
Port

Definition at line 35 of file nexys4_cram_dummy.vhd.

◆ I_BTNRST_N

I_BTNRST_N in slbit
Port

Definition at line 36 of file nexys4_cram_dummy.vhd.

◆ O_LED

O_LED out slv16
Port

Definition at line 37 of file nexys4_cram_dummy.vhd.

◆ O_RGBLED0

O_RGBLED0 out slv3
Port

Definition at line 38 of file nexys4_cram_dummy.vhd.

◆ O_RGBLED1

O_RGBLED1 out slv3
Port

Definition at line 39 of file nexys4_cram_dummy.vhd.

◆ O_ANO_N

O_ANO_N out slv8
Port

Definition at line 40 of file nexys4_cram_dummy.vhd.

◆ O_SEG_N

O_SEG_N out slv8
Port

Definition at line 41 of file nexys4_cram_dummy.vhd.

◆ O_MEM_CE_N

O_MEM_CE_N out slbit
Port

Definition at line 42 of file nexys4_cram_dummy.vhd.

◆ O_MEM_BE_N

O_MEM_BE_N out slv2
Port

Definition at line 43 of file nexys4_cram_dummy.vhd.

◆ O_MEM_WE_N

O_MEM_WE_N out slbit
Port

Definition at line 44 of file nexys4_cram_dummy.vhd.

◆ O_MEM_OE_N

O_MEM_OE_N out slbit
Port

Definition at line 45 of file nexys4_cram_dummy.vhd.

◆ O_MEM_ADV_N

O_MEM_ADV_N out slbit
Port

Definition at line 46 of file nexys4_cram_dummy.vhd.

◆ O_MEM_CLK

O_MEM_CLK out slbit
Port

Definition at line 47 of file nexys4_cram_dummy.vhd.

◆ O_MEM_CRE

O_MEM_CRE out slbit
Port

Definition at line 48 of file nexys4_cram_dummy.vhd.

◆ I_MEM_WAIT

I_MEM_WAIT in slbit
Port

Definition at line 49 of file nexys4_cram_dummy.vhd.

◆ O_MEM_ADDR

O_MEM_ADDR out slv23
Port

Definition at line 50 of file nexys4_cram_dummy.vhd.

◆ IO_MEM_DATA

IO_MEM_DATA inout slv16
Port

Definition at line 52 of file nexys4_cram_dummy.vhd.

◆ ieee

ieee
Library

Definition at line 20 of file nexys4_cram_dummy.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 21 of file nexys4_cram_dummy.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 23 of file nexys4_cram_dummy.vhd.

◆ nxcramlib

nxcramlib
use clause

Definition at line 24 of file nexys4_cram_dummy.vhd.


The documentation for this design unit was generated from the following file: