w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
syn Architecture Reference
Architecture >> syn

Processes

proc_regs  ( CLK )
proc_next  ( R_REGS , CE_INT , DIN )

Constants

regs_init  regs_type := ( ' 0 ' , ' 0 ' )

Signals

R_REGS  regs_type := regs_init
N_REGS  regs_type := regs_init

Records

regs_type 
seen slbit
busy slbit

Detailed Description

Definition at line 34 of file led_pulse_stretch.vhd.

Member Function/Procedure/Process Documentation

◆ proc_regs()

proc_regs (   CLK  
)
Process

Definition at line 51 of file led_pulse_stretch.vhd.

◆ proc_next()

proc_next (   R_REGS ,
  CE_INT ,
  DIN  
)
Process

Definition at line 64 of file led_pulse_stretch.vhd.

Member Data Documentation

◆ regs_type

regs_type
Record

Definition at line 36 of file led_pulse_stretch.vhd.

◆ seen

seen slbit
Record

Definition at line 37 of file led_pulse_stretch.vhd.

◆ busy

busy slbit
Record

Definition at line 38 of file led_pulse_stretch.vhd.

◆ regs_init

regs_init regs_type := ( ' 0 ' , ' 0 ' )
Constant

Definition at line 41 of file led_pulse_stretch.vhd.

◆ R_REGS

Definition at line 46 of file led_pulse_stretch.vhd.

◆ N_REGS

Definition at line 47 of file led_pulse_stretch.vhd.


The documentation for this design unit was generated from the following file: