w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
ib_sel Entity Reference
Inheritance diagram for ib_sel:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>
iblib  Package <iblib>

Generics

IB_ADDR  slv16
SAWIDTH  natural := 0

Ports

CLK   in   slbit
IB_MREQ   in   ib_mreq_type
SEL   out   slbit

Detailed Description

Definition at line 27 of file ib_sel.vhd.

Member Data Documentation

◆ IB_ADDR

IB_ADDR slv16
Generic

Definition at line 29 of file ib_sel.vhd.

◆ SAWIDTH

SAWIDTH natural := 0
Generic

Definition at line 30 of file ib_sel.vhd.

◆ CLK

CLK in slbit
Port

Definition at line 32 of file ib_sel.vhd.

◆ IB_MREQ

IB_MREQ in ib_mreq_type
Port

Definition at line 33 of file ib_sel.vhd.

◆ SEL

SEL out slbit
Port

Definition at line 35 of file ib_sel.vhd.

◆ ieee

ieee
Library

Definition at line 19 of file ib_sel.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 20 of file ib_sel.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 22 of file ib_sel.vhd.

◆ iblib

iblib
use clause

Definition at line 23 of file ib_sel.vhd.


The documentation for this design unit was generated from the following file: