w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
syn Architecture Reference
Architecture >> syn

Processes

proc_regs  ( CLK )
proc_next  ( R_REGS , CE_USEC , CPUSUSP )

Constants

regs_init  regs_type := ( ( others = > ' 0 ' ) , ( others = > ' 0 ' ) )

Signals

R_REGS  regs_type := regs_init
N_REGS  regs_type := regs_init

Records

regs_type 
cnt slv7
cev slv8

Detailed Description

Definition at line 49 of file ib_rlim_gen.vhd.

Member Function/Procedure/Process Documentation

◆ proc_regs()

proc_regs (   CLK  
)
Process

Definition at line 66 of file ib_rlim_gen.vhd.

◆ proc_next()

proc_next (   R_REGS ,
  CE_USEC ,
  CPUSUSP  
)
Process

Definition at line 77 of file ib_rlim_gen.vhd.

Member Data Documentation

◆ regs_type

regs_type
Record

Definition at line 51 of file ib_rlim_gen.vhd.

◆ cnt

cnt slv7
Record

Definition at line 52 of file ib_rlim_gen.vhd.

◆ cev

cev slv8
Record

Definition at line 53 of file ib_rlim_gen.vhd.

◆ regs_init

regs_init regs_type := ( ( others = > ' 0 ' ) , ( others = > ' 0 ' ) )
Constant

Definition at line 56 of file ib_rlim_gen.vhd.

◆ R_REGS

Definition at line 61 of file ib_rlim_gen.vhd.

◆ N_REGS

Definition at line 62 of file ib_rlim_gen.vhd.


The documentation for this design unit was generated from the following file: