w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
syn Architecture Reference
Architecture >> syn

Processes

proc_line  ( CLK )
proc_intmap  ( EI_LINE , EI_ACKM , R_LINE )

Constants

conf_intp  intp_type := ( slv ( to_unsigned ( INTMAP ( 15 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 14 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 13 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 12 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 11 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 10 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 9 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 8 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 7 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 6 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 5 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 4 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 3 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 2 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 1 ) .pri , 3 ) ) , slv ( to_unsigned ( 0 , 3 ) ) )
conf_intv  intv_type := ( slv ( to_unsigned ( INTMAP ( 15 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 14 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 13 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 12 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 11 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 10 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 9 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 8 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 7 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 6 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 5 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 4 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 3 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 2 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 1 ) .vec , 9 ) ) , slv ( to_unsigned ( 0 , 9 ) ) )

Types

intp_type  ( 15 downto 0 ) slv3
intv_type  ( 15 downto 0 ) slv9

Signals

EI_LINE  slv4 := ( others = > ' 0 ' )
R_LINE  slv4 := ( others = > ' 0 ' )

Detailed Description

Definition at line 53 of file ib_intmap.vhd.

Member Function/Procedure/Process Documentation

◆ proc_line()

proc_line (   CLK  
)
Process

Definition at line 121 of file ib_intmap.vhd.

◆ proc_intmap()

proc_intmap (   EI_LINE ,
  EI_ACKM ,
  R_LINE  
)
Process

Definition at line 131 of file ib_intmap.vhd.

Member Data Documentation

◆ EI_LINE

EI_LINE slv4 := ( others = > ' 0 ' )
Signal

Definition at line 55 of file ib_intmap.vhd.

◆ R_LINE

R_LINE slv4 := ( others = > ' 0 ' )
Signal

Definition at line 56 of file ib_intmap.vhd.

◆ intp_type

intp_type ( 15 downto 0 ) slv3
Type

Definition at line 58 of file ib_intmap.vhd.

◆ intv_type

intv_type ( 15 downto 0 ) slv9
Type

Definition at line 59 of file ib_intmap.vhd.

◆ conf_intp

conf_intp intp_type := ( slv ( to_unsigned ( INTMAP ( 15 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 14 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 13 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 12 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 11 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 10 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 9 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 8 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 7 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 6 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 5 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 4 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 3 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 2 ) .pri , 3 ) ) , slv ( to_unsigned ( INTMAP ( 1 ) .pri , 3 ) ) , slv ( to_unsigned ( 0 , 3 ) ) )
Constant

Definition at line 61 of file ib_intmap.vhd.

◆ conf_intv

conf_intv intv_type := ( slv ( to_unsigned ( INTMAP ( 15 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 14 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 13 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 12 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 11 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 10 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 9 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 8 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 7 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 6 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 5 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 4 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 3 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 2 ) .vec , 9 ) ) , slv ( to_unsigned ( INTMAP ( 1 ) .vec , 9 ) ) , slv ( to_unsigned ( 0 , 9 ) ) )
Constant

Definition at line 80 of file ib_intmap.vhd.


The documentation for this design unit was generated from the following file: