w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
gray_cnt_gen Entity Reference
Inheritance diagram for gray_cnt_gen:
[legend]
Collaboration diagram for gray_cnt_gen:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>
genlib  Package <genlib>

Generics

DWIDTH  positive := 4

Ports

CLK   in   slbit
RESET   in   slbit := ' 0 '
CE   in   slbit := ' 1 '
DATA   out   slv ( DWIDTH - 1 downto 0 )

Detailed Description

Definition at line 24 of file gray_cnt_gen.vhd.

Member Data Documentation

◆ DWIDTH

DWIDTH positive := 4
Generic

Definition at line 26 of file gray_cnt_gen.vhd.

◆ CLK

CLK in slbit
Port

Definition at line 28 of file gray_cnt_gen.vhd.

◆ RESET

RESET in slbit := ' 0 '
Port

Definition at line 29 of file gray_cnt_gen.vhd.

◆ CE

CE in slbit := ' 1 '
Port

Definition at line 30 of file gray_cnt_gen.vhd.

◆ DATA

DATA out slv ( DWIDTH - 1 downto 0 )
Port

Definition at line 32 of file gray_cnt_gen.vhd.

◆ ieee

ieee
Library

Definition at line 18 of file gray_cnt_gen.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 19 of file gray_cnt_gen.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 21 of file gray_cnt_gen.vhd.

◆ genlib

genlib
use clause

Definition at line 22 of file gray_cnt_gen.vhd.


The documentation for this design unit was generated from the following file: