w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
gray2bin_gen Entity Reference
Inheritance diagram for gray2bin_gen:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>

Generics

DWIDTH  positive := 4

Ports

DI   in   slv ( DWIDTH - 1 downto 0 )
DO   out   slv ( DWIDTH - 1 downto 0 )

Detailed Description

Definition at line 23 of file gray2bin_gen.vhd.

Member Data Documentation

◆ DWIDTH

DWIDTH positive := 4
Generic

Definition at line 25 of file gray2bin_gen.vhd.

◆ DI

DI in slv ( DWIDTH - 1 downto 0 )
Port

Definition at line 27 of file gray2bin_gen.vhd.

◆ DO

DO out slv ( DWIDTH - 1 downto 0 )
Port

Definition at line 29 of file gray2bin_gen.vhd.

◆ ieee

ieee
Library

Definition at line 18 of file gray2bin_gen.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 19 of file gray2bin_gen.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 21 of file gray2bin_gen.vhd.


The documentation for this design unit was generated from the following file: