w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
syn Architecture Reference
Architecture >> syn

Processes

proc_regs  ( CLKO )

Signals

R_DO_S0  slbit := INIT
R_DO_S1  slbit := INIT

Attributes

ASYNC_REG  string
ASYNC_REG  signal is " true "

Detailed Description

Definition at line 36 of file cdc_signal_s1.vhd.

Member Function/Procedure/Process Documentation

◆ proc_regs()

proc_regs (   CLKO  
)
Process

Definition at line 48 of file cdc_signal_s1.vhd.

Member Data Documentation

◆ R_DO_S0

R_DO_S0 slbit := INIT
Signal

Definition at line 38 of file cdc_signal_s1.vhd.

◆ R_DO_S1

R_DO_S1 slbit := INIT
Signal

Definition at line 39 of file cdc_signal_s1.vhd.

◆ ASYNC_REG [1/2]

ASYNC_REG string
Attribute

Definition at line 41 of file cdc_signal_s1.vhd.

◆ ASYNC_REG [2/2]

ASYNC_REG signal is " true "
Attribute

Definition at line 43 of file cdc_signal_s1.vhd.


The documentation for this design unit was generated from the following file: