w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
syn Architecture Reference
Architecture >> syn

Processes

proc_regs  ( CLK )
proc_next  ( R_REGS , DI , ENA , ERR , HOLD )

Constants

regs_init  regs_type := ( ( others = > ' 0 ' ) , ' 0 ' , ' 0 ' )

Signals

R_REGS  regs_type := regs_init
N_REGS  regs_type := regs_init

Records

regs_type 
data slv9
dataval slbit
edpend slbit

Detailed Description

Definition at line 44 of file byte2cdata.vhd.

Member Function/Procedure/Process Documentation

◆ proc_regs()

proc_regs (   CLK  
)
Process

Definition at line 62 of file byte2cdata.vhd.

◆ proc_next()

proc_next (   R_REGS ,
  DI ,
  ENA ,
  ERR ,
  HOLD  
)
Process

Definition at line 75 of file byte2cdata.vhd.

Member Data Documentation

◆ regs_type

regs_type
Record

Definition at line 46 of file byte2cdata.vhd.

◆ data

data slv9
Record

Definition at line 47 of file byte2cdata.vhd.

◆ dataval

dataval slbit
Record

Definition at line 48 of file byte2cdata.vhd.

◆ edpend

edpend slbit
Record

Definition at line 49 of file byte2cdata.vhd.

◆ regs_init

regs_init regs_type := ( ( others = > ' 0 ' ) , ' 0 ' , ' 0 ' )
Constant

Definition at line 52 of file byte2cdata.vhd.

◆ R_REGS

Definition at line 57 of file byte2cdata.vhd.

◆ N_REGS

Definition at line 58 of file byte2cdata.vhd.


The documentation for this design unit was generated from the following file: