w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
migui2bram Entity Reference
Inheritance diagram for migui2bram:
[legend]
Collaboration diagram for migui2bram:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
memlib  Package <memlib>
cdclib  Package <cdclib>
xlib  Package <xlib>

Generics

BAWIDTH  positive := 4
MAWIDTH  positive := 28
RAWIDTH  positive := 19
RDELAY  positive := 5
CLKMUI_MUL  positive := 6
CLKMUI_DIV  positive := 12
CLKMSYS_PERIOD  real := 6 . 000

Ports

SYS_CLK   in   slbit
SYS_RST   in   slbit
UI_CLK   out   slbit
UI_CLK_SYNC_RST   out   slbit
INIT_CALIB_COMPLETE   out   slbit
APP_RDY   out   slbit
APP_EN   in   slbit
APP_CMD   in   slv3
APP_ADDR   in   slv ( MAWIDTH - 1 downto 0 )
APP_WDF_RDY   out   slbit
APP_WDF_WREN   in   slbit
APP_WDF_DATA   in   slv ( 8 * ( 2 ** BAWIDTH ) - 1 downto 0 )
APP_WDF_MASK   in   slv ( ( 2 ** BAWIDTH ) - 1 downto 0 )
APP_WDF_END   in   slbit
APP_RD_DATA_VALID   out   slbit
APP_RD_DATA   out   slv ( 8 * ( 2 ** BAWIDTH ) - 1 downto 0 )
APP_RD_DATA_END   out   slbit

Detailed Description

Definition at line 32 of file migui2bram.vhd.

Member Data Documentation

◆ BAWIDTH

BAWIDTH positive := 4
Generic

Definition at line 34 of file migui2bram.vhd.

◆ MAWIDTH

MAWIDTH positive := 28
Generic

Definition at line 35 of file migui2bram.vhd.

◆ RAWIDTH

RAWIDTH positive := 19
Generic

Definition at line 36 of file migui2bram.vhd.

◆ RDELAY

RDELAY positive := 5
Generic

Definition at line 37 of file migui2bram.vhd.

◆ CLKMUI_MUL

CLKMUI_MUL positive := 6
Generic

Definition at line 38 of file migui2bram.vhd.

◆ CLKMUI_DIV

CLKMUI_DIV positive := 12
Generic

Definition at line 39 of file migui2bram.vhd.

◆ CLKMSYS_PERIOD

CLKMSYS_PERIOD real := 6 . 000
Generic

Definition at line 40 of file migui2bram.vhd.

◆ SYS_CLK

SYS_CLK in slbit
Port

Definition at line 42 of file migui2bram.vhd.

◆ SYS_RST

SYS_RST in slbit
Port

Definition at line 43 of file migui2bram.vhd.

◆ UI_CLK

UI_CLK out slbit
Port

Definition at line 44 of file migui2bram.vhd.

◆ UI_CLK_SYNC_RST

Definition at line 45 of file migui2bram.vhd.

◆ INIT_CALIB_COMPLETE

Definition at line 46 of file migui2bram.vhd.

◆ APP_RDY

APP_RDY out slbit
Port

Definition at line 47 of file migui2bram.vhd.

◆ APP_EN

APP_EN in slbit
Port

Definition at line 48 of file migui2bram.vhd.

◆ APP_CMD

APP_CMD in slv3
Port

Definition at line 49 of file migui2bram.vhd.

◆ APP_ADDR

APP_ADDR in slv ( MAWIDTH - 1 downto 0 )
Port

Definition at line 50 of file migui2bram.vhd.

◆ APP_WDF_RDY

APP_WDF_RDY out slbit
Port

Definition at line 51 of file migui2bram.vhd.

◆ APP_WDF_WREN

Definition at line 52 of file migui2bram.vhd.

◆ APP_WDF_DATA

APP_WDF_DATA in slv ( 8 * ( 2 ** BAWIDTH ) - 1 downto 0 )
Port

Definition at line 53 of file migui2bram.vhd.

◆ APP_WDF_MASK

APP_WDF_MASK in slv ( ( 2 ** BAWIDTH ) - 1 downto 0 )
Port

Definition at line 54 of file migui2bram.vhd.

◆ APP_WDF_END

APP_WDF_END in slbit
Port

Definition at line 55 of file migui2bram.vhd.

◆ APP_RD_DATA_VALID

Definition at line 56 of file migui2bram.vhd.

◆ APP_RD_DATA

APP_RD_DATA out slv ( 8 * ( 2 ** BAWIDTH ) - 1 downto 0 )
Port

Definition at line 57 of file migui2bram.vhd.

◆ APP_RD_DATA_END

Definition at line 59 of file migui2bram.vhd.

◆ ieee

ieee
Library

Definition at line 23 of file migui2bram.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 24 of file migui2bram.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 25 of file migui2bram.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 27 of file migui2bram.vhd.

◆ memlib

memlib
use clause

Definition at line 28 of file migui2bram.vhd.

◆ cdclib

cdclib
use clause

Definition at line 29 of file migui2bram.vhd.

◆ xlib

xlib
use clause

Definition at line 30 of file migui2bram.vhd.


The documentation for this design unit was generated from the following file: