w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
cmoda7_sram_dummy Entity Reference

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>

Ports

I_CLK12   in   slbit
I_RXD   in   slbit
O_TXD   out   slbit
I_BTN   in   slv2
O_LED   out   slv2
O_RGBLED0_N   out   slv3
O_MEM_CE_N   out   slbit
O_MEM_WE_N   out   slbit
O_MEM_OE_N   out   slbit
O_MEM_ADDR   out   slv19
IO_MEM_DATA   inout   slv8

Detailed Description

Definition at line 24 of file cmoda7_sram_dummy.vhd.

Member Data Documentation

◆ I_CLK12

I_CLK12 in slbit
Port

Definition at line 27 of file cmoda7_sram_dummy.vhd.

◆ I_RXD

I_RXD in slbit
Port

Definition at line 28 of file cmoda7_sram_dummy.vhd.

◆ O_TXD

O_TXD out slbit
Port

Definition at line 29 of file cmoda7_sram_dummy.vhd.

◆ I_BTN

I_BTN in slv2
Port

Definition at line 30 of file cmoda7_sram_dummy.vhd.

◆ O_LED

O_LED out slv2
Port

Definition at line 31 of file cmoda7_sram_dummy.vhd.

◆ O_RGBLED0_N

O_RGBLED0_N out slv3
Port

Definition at line 32 of file cmoda7_sram_dummy.vhd.

◆ O_MEM_CE_N

O_MEM_CE_N out slbit
Port

Definition at line 33 of file cmoda7_sram_dummy.vhd.

◆ O_MEM_WE_N

O_MEM_WE_N out slbit
Port

Definition at line 34 of file cmoda7_sram_dummy.vhd.

◆ O_MEM_OE_N

O_MEM_OE_N out slbit
Port

Definition at line 35 of file cmoda7_sram_dummy.vhd.

◆ O_MEM_ADDR

O_MEM_ADDR out slv19
Port

Definition at line 36 of file cmoda7_sram_dummy.vhd.

◆ IO_MEM_DATA

IO_MEM_DATA inout slv8
Port

Definition at line 38 of file cmoda7_sram_dummy.vhd.

◆ ieee

ieee
Library

Definition at line 19 of file cmoda7_sram_dummy.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 20 of file cmoda7_sram_dummy.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 22 of file cmoda7_sram_dummy.vhd.


The documentation for this design unit was generated from the following file: