70use ieee.std_logic_1164.
all;
71use ieee.numeric_std.
all;
75 ddr2_dq : inout std_logic_vector(15 downto 0);
79 ddr2_ba : out std_logic_vector(2 downto 0);
87 ddr2_dm : out std_logic_vector(1 downto 0);
90 app_cmd : in std_logic_vector(2 downto 0);
125 ddr2_dq :
inout std_logic_vector(
15 downto 0);
126 ddr2_dqs_p :
inout std_logic_vector(
1 downto 0);
127 ddr2_dqs_n :
inout std_logic_vector(
1 downto 0);
128 ddr2_addr :
out std_logic_vector(
12 downto 0);
129 ddr2_ba :
out std_logic_vector(
2 downto 0);
133 ddr2_ck_p :
out std_logic_vector(
0 downto 0);
134 ddr2_ck_n :
out std_logic_vector(
0 downto 0);
135 ddr2_cke :
out std_logic_vector(
0 downto 0);
136 ddr2_cs_n :
out std_logic_vector(
0 downto 0);
137 ddr2_dm :
out std_logic_vector(
1 downto 0);
138 ddr2_odt :
out std_logic_vector(
0 downto 0);
139 app_addr :
in std_logic_vector(
26 downto 0);
140 app_cmd :
in std_logic_vector(
2 downto 0);
migui_nexys4d_mig u_migui_nexys4d_migu_migui_nexys4d_mig
in device_temp_i std_logic_vector( 11 downto 0)
out app_rd_data std_logic_vector(( nCK_PER_CLK* 2* PAYLOAD_WIDTH)- 1 downto 0)
in app_cmd std_logic_vector( 2 downto 0)
out ddr2_cke std_logic_vector( CKE_WIDTH- 1 downto 0)
out app_wdf_rdy std_logic
inout ddr2_dqs_p std_logic_vector( DQS_WIDTH- 1 downto 0)
out ddr2_addr std_logic_vector( ROW_WIDTH- 1 downto 0)
out app_rd_data_end std_logic
out ddr2_ba std_logic_vector( BANK_WIDTH- 1 downto 0)
out init_calib_complete std_logic
out ddr2_ck_n std_logic_vector( CK_WIDTH- 1 downto 0)
out app_sr_active std_logic
out app_rd_data_valid std_logic
inout ddr2_dq std_logic_vector( DQ_WIDTH- 1 downto 0)
out ddr2_odt std_logic_vector( ODT_WIDTH- 1 downto 0)
inout ddr2_dqs_n std_logic_vector( DQS_WIDTH- 1 downto 0)
out app_ref_ack std_logic
in app_addr std_logic_vector( ADDR_WIDTH- 1 downto 0)
out ddr2_dm std_logic_vector( DM_WIDTH- 1 downto 0)
in app_wdf_mask std_logic_vector(( nCK_PER_CLK* 2* PAYLOAD_WIDTH/ 8)- 1 downto 0)
out ddr2_cs_n std_logic_vector(( CS_WIDTH* nCS_PER_RANK)- 1 downto 0)
in app_wdf_wren std_logic
out ddr2_ck_p std_logic_vector( CK_WIDTH- 1 downto 0)
out ui_clk_sync_rst std_logic
in app_wdf_data std_logic_vector(( nCK_PER_CLK* 2* PAYLOAD_WIDTH)- 1 downto 0)
out ddr2_addr std_logic_vector( 12 downto 0)
in device_temp_i std_logic_vector( 11 downto 0)
out ddr2_cs_n std_logic_vector( 0 downto 0)
out ddr2_ba std_logic_vector( 2 downto 0)
out ddr2_odt std_logic_vector( 0 downto 0)
in app_cmd std_logic_vector( 2 downto 0)
out ddr2_dm std_logic_vector( 1 downto 0)
out app_wdf_rdy std_logic
inout ddr2_dqs_n std_logic_vector( 1 downto 0)
inout ddr2_dq std_logic_vector( 15 downto 0)
in app_wdf_data std_logic_vector( 127 downto 0)
out app_rd_data_end std_logic
out init_calib_complete std_logic
out ddr2_ck_n std_logic_vector( 0 downto 0)
out app_sr_active std_logic
out app_rd_data_valid std_logic
out app_rd_data std_logic_vector( 127 downto 0)
out ddr2_cke std_logic_vector( 0 downto 0)
inout ddr2_dqs_p std_logic_vector( 1 downto 0)
out app_ref_ack std_logic
in app_addr std_logic_vector( 26 downto 0)
in app_wdf_mask std_logic_vector( 15 downto 0)
in app_wdf_wren std_logic
out ui_clk_sync_rst std_logic
out ddr2_ck_p std_logic_vector( 0 downto 0)