w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
migui_arty.vhd
Go to the documentation of this file.
1--*****************************************************************************
2-- (c) Copyright 2009 - 2012 Xilinx, Inc. All rights reserved.
3--
4-- This file contains confidential and proprietary information
5-- of Xilinx, Inc. and is protected under U.S. and
6-- international copyright and other intellectual property
7-- laws.
8--
9-- DISCLAIMER
10-- This disclaimer is not a license and does not grant any
11-- rights to the materials distributed herewith. Except as
12-- otherwise provided in a valid license issued to you by
13-- Xilinx, and to the maximum extent permitted by applicable
14-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
15-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
16-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
17-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
18-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
19-- (2) Xilinx shall not be liable (whether in contract or tort,
20-- including negligence, or under any other theory of
21-- liability) for any loss or damage of any kind or nature
22-- related to, arising under or in connection with these
23-- materials, including for any direct, or any indirect,
24-- special, incidental, or consequential loss or damage
25-- (including loss of data, profits, goodwill, or any type of
26-- loss or damage suffered as a result of any action brought
27-- by a third party) even if such damage or loss was
28-- reasonably foreseeable or Xilinx had been advised of the
29-- possibility of the same.
30--
31-- CRITICAL APPLICATIONS
32-- Xilinx products are not designed or intended to be fail-
33-- safe, or for use in any application requiring fail-safe
34-- performance, such as life-support or safety devices or
35-- systems, Class III medical devices, nuclear facilities,
36-- applications related to the deployment of airbags, or any
37-- other applications that could lead to death, personal
38-- injury, or severe property or environmental damage
39-- (individually and collectively, "Critical
40-- Applications"). Customer assumes the sole risk and
41-- liability of any use of Xilinx products in Critical
42-- Applications, subject only to applicable laws and
43-- regulations governing limitations on product liability.
44--
45-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
46-- PART OF THIS FILE AT ALL TIMES.
47--
48--*****************************************************************************
49-- ____ ____
50-- / /\/ /
51-- /___/ \ / Vendor : Xilinx
52-- \ \ \/ Version : 4.2
53-- \ \ Application : MIG
54-- / / Filename : migui_arty.vhd
55-- /___/ /\ Date Last Modified : $Date: 2011/06/02 08:35:03 $
56-- \ \ / \ Date Created : Wed Feb 01 2012
57-- \___\/\___\
58--
59-- Device : 7 Series
60-- Design Name : DDR3 SDRAM
61-- Purpose :
62-- Wrapper module for the user design top level file. This module can be
63-- instantiated in the system and interconnect as shown in example design
64-- (example_top module).
65-- Revision History :
66--*****************************************************************************
67
68library ieee;
69use ieee.std_logic_1164.all;
70use ieee.numeric_std.all;
71
72entity migui_arty is
73 port (
74 ddr3_dq : inout std_logic_vector(15 downto 0);
75 ddr3_dqs_p : inout std_logic_vector(1 downto 0);
76 ddr3_dqs_n : inout std_logic_vector(1 downto 0);
77
78 ddr3_addr : out std_logic_vector(13 downto 0);
79 ddr3_ba : out std_logic_vector(2 downto 0);
80 ddr3_ras_n : out std_logic;
81 ddr3_cas_n : out std_logic;
82 ddr3_we_n : out std_logic;
83 ddr3_reset_n : out std_logic;
84 ddr3_ck_p : out std_logic_vector(0 downto 0);
85 ddr3_ck_n : out std_logic_vector(0 downto 0);
86 ddr3_cke : out std_logic_vector(0 downto 0);
87 ddr3_cs_n : out std_logic_vector(0 downto 0);
88 ddr3_dm : out std_logic_vector(1 downto 0);
89 ddr3_odt : out std_logic_vector(0 downto 0);
90 app_addr : in std_logic_vector(27 downto 0);
91 app_cmd : in std_logic_vector(2 downto 0);
92 app_en : in std_logic;
93 app_wdf_data : in std_logic_vector(127 downto 0);
94 app_wdf_end : in std_logic;
95 app_wdf_mask : in std_logic_vector(15 downto 0);
96 app_wdf_wren : in std_logic;
97 app_rd_data : out std_logic_vector(127 downto 0);
98 app_rd_data_end : out std_logic;
99 app_rd_data_valid : out std_logic;
100 app_rdy : out std_logic;
101 app_wdf_rdy : out std_logic;
102 app_sr_req : in std_logic;
103 app_ref_req : in std_logic;
104 app_zq_req : in std_logic;
105 app_sr_active : out std_logic;
106 app_ref_ack : out std_logic;
107 app_zq_ack : out std_logic;
108 ui_clk : out std_logic;
109 ui_clk_sync_rst : out std_logic;
110 init_calib_complete : out std_logic;
111 -- System Clock Ports
112 sys_clk_i : in std_logic;
113 -- Reference Clock Ports
114 clk_ref_i : in std_logic;
115 device_temp_i : in std_logic_vector(11 downto 0);
116 device_temp : out std_logic_vector(11 downto 0);
117 sys_rst : in std_logic
118 );
119end entity migui_arty;
120
121architecture arch_migui_arty of migui_arty is
122
123-- Start of IP top component
124
125 component migui_arty_mig
126 port(
127 ddr3_dq : inout std_logic_vector(15 downto 0);
128 ddr3_dqs_p : inout std_logic_vector(1 downto 0);
129 ddr3_dqs_n : inout std_logic_vector(1 downto 0);
130
131 ddr3_addr : out std_logic_vector(13 downto 0);
132 ddr3_ba : out std_logic_vector(2 downto 0);
133 ddr3_ras_n : out std_logic;
134 ddr3_cas_n : out std_logic;
135 ddr3_we_n : out std_logic;
136 ddr3_reset_n : out std_logic;
137 ddr3_ck_p : out std_logic_vector(0 downto 0);
138 ddr3_ck_n : out std_logic_vector(0 downto 0);
139 ddr3_cke : out std_logic_vector(0 downto 0);
140 ddr3_cs_n : out std_logic_vector(0 downto 0);
141 ddr3_dm : out std_logic_vector(1 downto 0);
142 ddr3_odt : out std_logic_vector(0 downto 0);
143 app_addr : in std_logic_vector(27 downto 0);
144 app_cmd : in std_logic_vector(2 downto 0);
145 app_en : in std_logic;
146 app_wdf_data : in std_logic_vector(127 downto 0);
147 app_wdf_end : in std_logic;
148 app_wdf_mask : in std_logic_vector(15 downto 0);
149 app_wdf_wren : in std_logic;
150 app_rd_data : out std_logic_vector(127 downto 0);
151 app_rd_data_end : out std_logic;
152 app_rd_data_valid : out std_logic;
153 app_rdy : out std_logic;
154 app_wdf_rdy : out std_logic;
155 app_sr_req : in std_logic;
156 app_ref_req : in std_logic;
157 app_zq_req : in std_logic;
158 app_sr_active : out std_logic;
159 app_ref_ack : out std_logic;
160 app_zq_ack : out std_logic;
161 ui_clk : out std_logic;
162 ui_clk_sync_rst : out std_logic;
163 init_calib_complete : out std_logic;
164 -- System Clock Ports
165 sys_clk_i : in std_logic;
166 -- Reference Clock Ports
167 clk_ref_i : in std_logic;
168 device_temp_i : in std_logic_vector(11 downto 0);
169 device_temp : out std_logic_vector(11 downto 0);
170 sys_rst : in std_logic
171 );
172 end component migui_arty_mig;
173
174-- End of IP top component
175
176begin
177
178-- Start of IP top instance
180 port map (
181 -- Memory interface ports
183 ddr3_ba => ddr3_ba,
191 ddr3_dq => ddr3_dq,
196 ddr3_dm => ddr3_dm,
198 -- Application interface ports
200 app_cmd => app_cmd,
201 app_en => app_en,
208 app_rdy => app_rdy,
216 ui_clk => ui_clk,
219 -- System Clock Ports
221 -- Reference Clock Ports
226 );
227-- End of IP top instance
228
229end architecture arch_migui_arty;
230
231
migui_arty_mig u_migui_arty_migu_migui_arty_mig
Definition: migui_arty.vhd:226
in app_sr_req std_logic
in device_temp_i std_logic_vector( 11 downto 0)
out ddr3_cke std_logic_vector( CKE_WIDTH- 1 downto 0)
out app_rd_data std_logic_vector(( nCK_PER_CLK* 2* PAYLOAD_WIDTH)- 1 downto 0)
out ddr3_cas_n std_logic
out ddr3_cs_n std_logic_vector(( CS_WIDTH* nCS_PER_RANK)- 1 downto 0)
in app_cmd std_logic_vector( 2 downto 0)
out app_zq_ack std_logic
in app_wdf_end std_logic
in app_zq_req std_logic
out device_temp std_logic_vector( 11 downto 0)
inout ddr3_dqs_p std_logic_vector( DQS_WIDTH- 1 downto 0)
out app_wdf_rdy std_logic
out ddr3_addr std_logic_vector( ROW_WIDTH- 1 downto 0)
out app_rd_data_end std_logic
out ddr3_we_n std_logic
inout ddr3_dq std_logic_vector( DQ_WIDTH- 1 downto 0)
out ddr3_ras_n std_logic
out init_calib_complete std_logic
in sys_clk_i std_logic
in clk_ref_i std_logic
in app_ref_req std_logic
out ddr3_ba std_logic_vector( BANK_WIDTH- 1 downto 0)
out app_sr_active std_logic
out app_rd_data_valid std_logic
out ddr3_odt std_logic_vector( ODT_WIDTH- 1 downto 0)
out ddr3_dm std_logic_vector( DM_WIDTH- 1 downto 0)
out ddr3_ck_p std_logic_vector( CK_WIDTH- 1 downto 0)
in sys_rst std_logic
in app_wdf_mask std_logic_vector((( nCK_PER_CLK* 2* PAYLOAD_WIDTH)/ 8)- 1 downto 0)
out ui_clk std_logic
inout ddr3_dqs_n std_logic_vector( DQS_WIDTH- 1 downto 0)
in app_en std_logic
out ddr3_ck_n std_logic_vector( CK_WIDTH- 1 downto 0)
out app_ref_ack std_logic
in app_addr std_logic_vector( ADDR_WIDTH- 1 downto 0)
out ddr3_reset_n std_logic
in app_wdf_wren std_logic
out ui_clk_sync_rst std_logic
in app_wdf_data std_logic_vector(( nCK_PER_CLK* 2* PAYLOAD_WIDTH)- 1 downto 0)
out app_rdy std_logic
in app_sr_req std_logic
Definition: migui_arty.vhd:102
in device_temp_i std_logic_vector( 11 downto 0)
Definition: migui_arty.vhd:115
inout ddr3_dqs_p std_logic_vector( 1 downto 0)
Definition: migui_arty.vhd:75
out ddr3_cas_n std_logic
Definition: migui_arty.vhd:81
in app_cmd std_logic_vector( 2 downto 0)
Definition: migui_arty.vhd:91
out app_zq_ack std_logic
Definition: migui_arty.vhd:107
in app_wdf_end std_logic
Definition: migui_arty.vhd:94
in app_zq_req std_logic
Definition: migui_arty.vhd:104
out device_temp std_logic_vector( 11 downto 0)
Definition: migui_arty.vhd:116
out app_wdf_rdy std_logic
Definition: migui_arty.vhd:101
out ddr3_ck_n std_logic_vector( 0 downto 0)
Definition: migui_arty.vhd:85
out ddr3_cs_n std_logic_vector( 0 downto 0)
Definition: migui_arty.vhd:87
in app_wdf_data std_logic_vector( 127 downto 0)
Definition: migui_arty.vhd:93
out app_rd_data_end std_logic
Definition: migui_arty.vhd:98
out ddr3_we_n std_logic
Definition: migui_arty.vhd:82
out ddr3_dm std_logic_vector( 1 downto 0)
Definition: migui_arty.vhd:88
out ddr3_cke std_logic_vector( 0 downto 0)
Definition: migui_arty.vhd:86
out ddr3_ras_n std_logic
Definition: migui_arty.vhd:80
out init_calib_complete std_logic
Definition: migui_arty.vhd:110
in sys_clk_i std_logic
Definition: migui_arty.vhd:112
in clk_ref_i std_logic
Definition: migui_arty.vhd:114
in app_ref_req std_logic
Definition: migui_arty.vhd:103
out app_sr_active std_logic
Definition: migui_arty.vhd:105
inout ddr3_dqs_n std_logic_vector( 1 downto 0)
Definition: migui_arty.vhd:76
out app_rd_data_valid std_logic
Definition: migui_arty.vhd:99
inout ddr3_dq std_logic_vector( 15 downto 0)
Definition: migui_arty.vhd:74
out app_rd_data std_logic_vector( 127 downto 0)
Definition: migui_arty.vhd:97
in sys_rst std_logic
Definition: migui_arty.vhd:118
out ui_clk std_logic
Definition: migui_arty.vhd:108
out ddr3_odt std_logic_vector( 0 downto 0)
Definition: migui_arty.vhd:89
in app_en std_logic
Definition: migui_arty.vhd:92
in app_addr std_logic_vector( 27 downto 0)
Definition: migui_arty.vhd:90
out app_ref_ack std_logic
Definition: migui_arty.vhd:106
in app_wdf_mask std_logic_vector( 15 downto 0)
Definition: migui_arty.vhd:95
out ddr3_reset_n std_logic
Definition: migui_arty.vhd:83
out ddr3_ba std_logic_vector( 2 downto 0)
Definition: migui_arty.vhd:79
out ddr3_ck_p std_logic_vector( 0 downto 0)
Definition: migui_arty.vhd:84
in app_wdf_wren std_logic
Definition: migui_arty.vhd:96
out ddr3_addr std_logic_vector( 13 downto 0)
Definition: migui_arty.vhd:78
out ui_clk_sync_rst std_logic
Definition: migui_arty.vhd:109
out app_rdy std_logic
Definition: migui_arty.vhd:100