1: program test (output);
   2: var a : array [1..10] of integer;
   3: procedure foo;
   4: begin
   5:  a[4] := 100;
   6:  a[5] := 100;
   7:  a[6] := 100;
   8: end;
   9: function bar (x:integer):integer;
  10:   begin
  11:     bar := 10*x;
  12:   end;
  13: begin
  14:  a[1] := 100;
  15:  foo;
  16:  a[2] := bar(2);
  17:  a[3] := 100;
  18: end.
Last modified: 1984-04-01
Generated: 2016-12-26
Generated by src2html V0.67
page hit count: 1305
Valid CSS Valid XHTML 1.0 Strict